Forum: FPGA, VHDL & Co. Probleme mit CPLD Programmierung


von Flite (Gast)


Lesenswert?

Hallo!

Ich habe angefangen mich ein wenig mit CPLD Programmierung
auseinanderzusetzen (mit VHDL).

Nun habe ich mir ein (zugegeben etwas älteres) Starterboard von Philips
besorgt. Der CPLD ist ein PZ5128-S10A84 (also PLCC, 5 V und 128
Makrozellen). Die Coolrunner Serie wurde dann von Xilinx übernommen -
das jetzige Model heißt XCR5128 (von Xilinx) - sollte aber das selbe
sein.

Ich hab mir nun das Webpack 4.2 besorgt und wollte versuchen über
iMPACT und den parallelen JTAG Programmer des Device zu programmieren.
Leider erkennt das Programmertool weder den JTAG Programmer noch den
Chip.

Der Chip wird von Webpack 4.2 nicht mehr unterstützt (nur noch die 3,3
V Version) - aber zumindest den JTAG Programmer hätte er doch erkennen
müssen, oder?

Kann es daran liegen, dass ich Win2k auf meinem PC installiert habe?

Für ein wenig Hilfe wäre ich sehr dankbar!
Viele Grüße
Flite

von J. Huebler (Gast)


Lesenswert?

Hallo,

ich habe bislang nur mit einem "Parallel-Cable", welches direkt von
XILINX geliefert wird programmiert (in der Firma). Das Funktioniert
jedenfalls auch unter W2K. Ich habe dazu allerdings noch eine altes
Webpack (3.8) installiert, welches auch die alten 5V-Typen bedient.
Das Programmierprogramm dort heißt schlicht "JTAG-Programmer" (noch
nicht "IMPACT"). Vielleicht liegt es daran.
Im Übrigen habe ich auch noch "Uralt-Versionen" des Webpack, die
aber
zum Teil nur die Phillips-Programmiersprache (AHDL ?) unterstützen
In der Hilfe zum Webpack ist auch ein Schaltplan fuer einen
JTAG-Programmer enthalten.

Bei Interesse bitte einfach nochmal hier melden.

MfG

von Flite (Gast)


Lesenswert?

Hallo J.

danke für deine Antwort.
Ich verwende einen von Phillips mitgelieferten JTAG Programmer. Er ist
unbenutzt und sollte deshalb auch noch funktionieren.

Leider habe ich das WebPack 3.8 nirgends mehr gefunden (auch nicht bei
den alten Versionen direkt bei Xilinx). Vielleicht hast du eine
Möglichkeit mir das zukommen zu lassen (auf Webspace hochladen, per CD
gegen Selbstkosten oder so)

Würde mich freuen, wenn du dich mal melden würdest.
steffen.burr@rfds.net

Viele Grüße
Flite

PS: An alle die es nicht wissen. Ich möchte hier keine illegale
Raubkopie. Bei beim Webpack handelt es sich um Freeware.

von Andreas Huck (Gast)


Lesenswert?

Hallo,

ich habe mir auch das Web-pack 4.2 gezogen, dazu ein
Parallel-Programmer. Ausserdem Win98 und es klappt alles.

Würde nur gern wissen, wo man noch diese Coolrunner herbekommt 5V/3,3V
(oder jemand die noch rumliegen hat 2-3Stück) oder welche anderen
CPLD´s Pincompatible zu den Xilinx-PLD´s sind....?

danke
andreas

von Peter D. (peda)


Lesenswert?

Die XCR5128C werden nicht mehr hergestellt, sondern nur noch die
XCR3128XL.
Die sind sich zwar ähnlich, aber nicht gleich. Die neueren haben
weniger OR-Terme.
Ich hatte mehrere Geräte mit dem XCR5064C laufen, die mußte ich alle
durch den XCR3128XL ersetzen. Beim XCR3064XL meckerte der Fitter, daß
es nicht mehr geht. Glücklicher Weise sind die beiden Pin kompatibel.


Ich benutze das WinPack 3.1 und das Programmierkabel aus dem Philips
Datenbuch:

Coolrunner - LPT:
TCK - 2
TDI - 3
TMS - 4
TDO - 11
GND - 18,19,20,21


Da die XCR3128XL 5V-tolerant sind, kann man sie mit 5V-Chips
zusammenschalten. Nur die VCC muß auf 3V liegen.


Peter

von Manfred Steinbach (Gast)


Lesenswert?

Hallo,

ich versuche mich seit kurzem in der Programmierung eines XC9536 (PLCC
44, 5V-Typ). BS ist WinXP Pro. Software von XILINX, alles Vers.
6.2.02i. Das Programmierkabel habe ich mir selbst gebastelt, der XC9536
befindet sich auf einer Adapterplatine auf einem Steckbrett. Vom
Programm iMPACT wird das Kabel an LPT1 korrekt erkannt und konfiguriert
(Cable connection established), jedoch ist der CPLD mit keiner Funktion
anzusprechen.
Meldung: ERROR:iMPACT:1210-'1':Boundary-scan chain test failed at bit
position '1'. A problem may exist in the hardware configuration....

Am CPLD sind außer den Programmierpins, den drei GND Pins 23,31 und 10
sowie den drei VCC Pins 41, 21 und 32 keine weiteren Pins beschaltet.

Meine Frage nun: Müssen noch weitere Signale an den CPLD angelegt
werden, z.B. Taktsignal an Pin 5 o. ä. ????

Bin für jede Hilfe dankbar.

Viele Grüße
Manfred

von Frank Simon (Gast)


Lesenswert?

Hi, vielleicht hilft Euch dieser Link:
http://home.t-online.de/home/holger.klabunde/projects/Xilinx.htm
Ich hab die Platinen und Bauteile schon liegen, aber noch nicht
zusammengebaut.
mfg
Frank Simon

von Manfred Steinbach (Gast)


Lesenswert?

Hallo,

@Frank
Danke für die Antwort. Die Seite von Holger ist sehr informativ. Der
einzige Unterschied zwischen seinem Testboard und meinem Steckbrett ist
der angeschlossene Taktgenerator. Werde das bei mir noch hinzufügen und
dann mal schauen.
Werde die Ergebnisse hier mitteilen.
Bis dann.
mfg
Manfred

von Frank Simon (Gast)


Lesenswert?

@Manfred
Ja, bitte berichten!
mfg
Frank

von Manfred Steinbach (Gast)


Lesenswert?

Hallo alle zusammen,

habe nun den Taktgenerator hinzugefügt, am neg. Ergebniss hat sich
jedoch nichts geändert.
Ich werde nun nochmals die Hardware genauer unter die Lupe nehmen,
besonders den Programmieradapter.
Bis bald
Manfred

von Manfred Steinbach (Gast)


Lesenswert?

Hallo,
nachdem ich einen Hardwarefehler auf dem Programmieradapter beseitigt
hatte, tauchte eine weitere Hürde auf:
Allem Anschein nach handelt es sich bei meinem Exemplar des xc9536 um
eine Version, die sich nicht mit iMPACT programmieren läßt!!!
(Siehe xilinx FAQ Answer #12737 bzw. #12740). Man benötigt die Version
3.1isp8 des JTAG Programmer. Xilinx selbst geht auf seiner
Downloadseite aber nur bis Ver. 3.3 zurück.
Meine Bitte nun: Hat jemand einen Link zu dieser Version 3.1???
Danke für jede Hilfe.

mfg
Manfred

von Thorsten (Gast)


Lesenswert?

@Manfred
Ich stehe gerade vor genau dem gleichen Problem. Hast du schon eine
Lösung gefunden ?

Thorsten

von Thorsten (Gast)


Lesenswert?

Sorry, mein Posting war etwas verfrüht. Ich habe auf einer CD noch eine
ältere Version des Xilinx Webpacks gefunden, mit dabei war die Version
3.2 des CPLD-Programmers. Mit dieser funktioniert das Programmieren
unter WinXP problemlos. Daher von mir die Frage an dich (und auch
andere), ob noch Interesse an dieser Software besteht. Ist allerdings
11MB groß...

Gruß
Thorsten

von Steffen Burr (Gast)


Lesenswert?

Ich wäre auf jeden Fall daran Interessiert!

Falls du sie nicht im Forum hochladen willst kannst du sie mir auch an
die Emailadresse schicken!

Danke schon mal!!

Viele Grüße
Steffen

von Thorsten (Gast)


Lesenswert?

Hallo,

ich kann mich aber erst am Montag darum kümmern (11MB Mail mit 56k
Modem macht kein Spaß). Was das Hochladen hier im Forum angeht, so
müsste vorher geklärt werden, wie Andreas Schwarz über so große Uploads
denkt und was Xilinx davon hält, eines ihrer Softwarepakete in einem
Forum der Allgemeinheit zugänglich zu machen. Naja, beides lässt sich
ja hoffentlich klären.

Gruß
Thorsten

von Martin (Gast)


Lesenswert?

Hallo Leute

Also ich probiere derzeit gerade mit XC9536XL und 72XL rum, nur ehrlich
gesagt sind da die Markozellen eigentlich ziemlich schnell aufgebraucht.

Nun die Frage wie sieht es eigentlich mit großeren Verisonen aus? der
XC95144 ist wohl eher eine Rarität und bietet auch nicht wirklich viel
mehr.
Mich würde schon mehr so ein FPGA in einem noch halbwegs lötbarem
Gehäuse reizen (so bis 150 Pins). Nur wo bekomme ich sowas? Bei Farnell
finde ich nur ältere Chips, welche zwar für meinen Einsatz genau richtig
wären aber von der neuen 6.2 Software nicht einmal mehr unterstützt
werden. Also wo bekommt man günstig in kleinmengen Virtex2 oder Spartan
Chips? Jemand einen Hinweis? Jemand Erfahrungen mit den Chips? Große
Unterschiede zwischen CPLD und FPGA?

Danke im Voraus

MfG Martin W

von Manfred Steinbach (Gast)


Lesenswert?

Hallo Leute,

@Thorsten

entschuldige, daß ich erst jetzt antworte, aber an der V 3.2 bin ich
auch interessiert. Vielleicht kannst Du mir eine CD brennen und
zuschicken. Die Auslagen übernehme ich natürlich. Bitte mail mir deine
Vorgehensweise.

Bis bald und viele Grüße
Manfred

von wolli (Gast)


Lesenswert?

Virtex habe ich noch keinen zu bezahlbaren Preisen gefunden, aber
RS-Elektronik verkauft bis zum größten Spartan-II im PQFP-208 Gehäuse.

von Manfred Steinbach (Gast)


Lesenswert?

Hallo,

@Thorsten
nochmal vielen Dank für Deine Software, allerdings hat es mit der
Programmierung leider immer noch nicht geklappt. Habe die Hardware zum
wiederholten Male überprüft und keinen Fehler entdeckt. Habe zuletzt
noch einen Versuch mit dem XC9572XL (3,3V PLCC44 Gehäuse) durchgeführt.
Neueste Sofware von Xilinx ISE und iMPACT 6.2.03i und Deine ältere
Version.
Im Anhang habe ich mal das Logfile nach einem Programmierversuch
hinzugefügt.

@Alle
ist vieleicht einer der CPLD Experten bereit sich das mal anzusehen um
mir einen Tipp zu geben, in welche Richtung ich mich bei der
Fehlersuche begeben muß? Gibt es eine Möglichkeit, das Programmierkabel
auf Funktionfähigkeit zu testen?

Ich wünsche Allen einen schönen Sonntag.

Manfred

von Manfred Steinbach (Gast)


Angehängte Dateien:

Lesenswert?

Hier nochmal das Logfile

Manfred

von Thorsten (Gast)


Lesenswert?

Hi Manfred,

ich befürchte, daß du eine noch ältere Software als v3.2 benötigst. Ich
habe aber keine Ahnung, wo es die gibt. Vielleicht bringt ja eine Mail
an Xilinx was. Bei mir jedenfalls hat die v3.2 mit den älteren XC9536
tadellos funktioniert.

Gruß
Thorsten

von Frank (Gast)


Lesenswert?

@ all
Bei aktuellen XC9572 und Webpack 4.2 hatte ich mal das Problem (nach
dutzenden erfolgreichen programmieren), daß er immer mittendrin
abbrach. Auch lesen der Checksum lieferte ne Fehlermeldung. Beim Impact
"edit" -> "preferences" das Häkchen von "concurrent mode"
deaktivieren brachte Lösung.


@Martin W
Nach den CPLDs ohne Probs hatte ich nen 5V-Spartan XCS20 und schnellem
SRAM für ein Videocontroller in der Mangel. Bin ganz schön am Fluchen
gewesen, bis das Ding das benötigte Timing einhalten konnte. Bei CPLDs
braucht man sich weniger Kopf machen, bei FPGA lohnt sich die Mühe, vor
dem Bau von Hardware ne Timing-Simulation durchzuführen, im UCF-file
Timing-constraints vorzugeben und eventuell ein paar Pinzuordnungen zu
ändern. Dann erst Platine routen;-) Abgesehen davon, daß der FPGA nen
externen (EE)PROM braucht oder durch nen µC gestartet werden will.

von Martin (Gast)


Lesenswert?

Hallo,

ich bin ein Neuling im Bereich CLPD Programmierung.
An der Hochschule bekommen wir nur die reine Theorie beigebracht.

Ich versuche mir also selbst etwaas beizubringen und habe mir dazu ein
XC9536 Board mit CLPD besorgt und den Webpack von Xilinx.

Bisher habe ich nur etwas herum gespielt aber nicht zu stande gebracht
?

Gibt es irgendwo eine Anleitung für ein simples Beispiel ?
Muß man oder was muß man am Board selbst von verkabeln ?

Vielen Dank schonmal ..

von Michael M. (Gast)


Lesenswert?

@ Martin Priebe,

Hmm, Du müßtest uns schon sagen, bei welcher Aktion es zu welchem
Fehler kommt. Ob und welche Verkabelung nötig ist, kann Dir so auch
erst mal keiner beantworten, ohne Dein Board zu kennen ;-)

von Martin (Gast)


Lesenswert?

Oh ja richtig :)

dieses hier:
http://cgi.ebay.de/ws/eBayISAPI.dll?ViewItem&item=5726369793

jaja ich weiß .. ebay !

zum Beispiel muß ich auch 5V anlegen wenn es an der Schnittstelle hängt
?

von seventh_son (Gast)


Lesenswert?

Hallo,

Bräuchte auch den 3.1isp8 JTAG Programmer. Hat jemand die alte Version 
noch auf der Platte?

Gruß

Marc

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.