Forum: FPGA, VHDL & Co. DAC am spartan 3e starter kit


von spartan (Gast)


Lesenswert?

hallo,

ich bin anfänger in FPGAs und VHDL...
Ich möchte verschiedene Signale am DAC erzeugen in vhdl, xilinx bietet 
da ja etwas zu mit picoblaze aber ich möchte es ohne machen..
kann mir einer von euch ein codebeispiel geben???

gruss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?


von max (Gast)


Lesenswert?

ja schon alles durchgestöbert aber funktionieren tut nichts wirklich...

von max (Gast)


Lesenswert?

will auch den dac ansteuern um sinus- dreieck- bzw. ein rechtecksignal 
zu erzeugen..

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> aber funktionieren tut nichts wirklich...
Das liegt wie in diesen Fällen üblich an einem Fehler in der Zeile 42 
:-/
Meine Glaskugel ist seit der WM nachweislich ausser Funktion, sonst wäre 
D Weltmeister... ;-)

Anders gesagt: Zeig, was du hast und sag was nicht geht und wie du 
darauf kommst. Dann kann dir evtl. geholfen werden.

von Thomas T. (warhammerth)


Lesenswert?

siehe Beitrag "spartan 3e starter kit"
Da habe ich ein Modell hochgeladen

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.