Forum: www.mikrocontroller.net An die Admins, Formatierung


von Harald F. (hfl)


Lesenswert?

Hallo Admins,

im Forum "FPGA, VHDL & Co." werden immer wieder mal Code-Fragmente in 
Verilog gepostet. Allein, es fehlen die Möglichkeiten, solche 
Code-Schnipsel ansprechend zu formatieren. Ich schlage vor, eine solche 
Möglichkeit zu schaffen, schließlich ist Verilog weltweit die 
Hardwarebeschreibungssprache Nummer ein. Und meines Erachtens in 
Deutschland im Aufwind.

Freundliche Grüße,
Harald

von Jörg W. (dl8dtl) (Moderator) Benutzerseite


Lesenswert?

Nun, ob Verilog "im Aufwind" ist, darüber mögen sich andere streiten. ;)
Ich kenne es so, dass es vorzugsweise in Amerika (und damit natürlich
von US-Firmen auch in Europa) benutzt wird, während Europa eher
VHDL-lastig ist.  (Was macht Asien?)

Anyway, Verilog hat doch im wesentlichen eine C-Syntax, was passiert,
wenn du die C-Code-Formatierung benutzt?

von Harald F. (hfl)


Lesenswert?

Jörg Wunsch schrieb:
> Anyway, Verilog hat doch im wesentlichen eine C-Syntax, was passiert,
> wenn du die C-Code-Formatierung benutzt?

Schaumermal, wie das aussieht:
1
module cnt_bcd
2
    (
3
    clk,
4
    rst,
5
    ena,
6
    cin,
7
    out,
8
    cout
9
    );
10
11
input           clk;                // clock
12
input           rst;                // reset
13
input           ena;                // count enable
14
input           cin;                // carry input from lower digit
15
output  [3:0]   out;                // counter output
16
output          cout;               // carry output to higher digit
17
reg     [3:0]   count;              // counter register
18
19
always @(posedge clk)
20
    begin
21
    if (rst)
22
        count <= 0;
23
    else if (ena && cin)
24
        count <= (count == 4'h9) ? 0 : count + 1;
25
    end
26
27
assign out  = count;
28
assign cout = (count == 4'h9) && cin;
29
30
endmodule

Gar nicht so übel! 2 von 12 Keywords und 1 Sonderzeichen erkannt.

Was die Verbreitung von Verilog angeht, so ist es zunächst mal so, wie 
Du schreibst: Verilog ist in in Deutschland traditionell weniger 
verbreitet. Das ist IMHO so, weil Verilog in der Hochschulausbildung 
nahezu komplett ignoriert wird. Wenn man dann aber nach dem Studium 
echte Arbeit macht und sich z.B. mal bei opencores.org umschaut, dann 
sieht die Welt schnell anders aus. Außerdem: Einige Beispiel-Designs der 
großen FPGA-Hersteller gibt es nur in Verilog. ARM, Cortex, alles in 
Verilog. Und dann gibt es ja noch System-Verilog. Wenn man beim 
FPGA-Design technologisch auf der Höhe bleiben will, sollte mal Verilog 
kennen. Egal, wo man wohnt. Die Welt ist diesbezüglich ein Dorf 
geworden.

My two cents,
Harald

von Yalu X. (yalu) (Moderator)


Lesenswert?

Harald Flügel schrieb:
> Gar nicht so übel! 2 von 12 Keywords und 1 Sonderzeichen erkannt.

... und die Kommentare und die Konstanten :)

Aber du hast schon recht, mit C-Syntax-Highlighting sieht Verilog recht
bescheiden aus. Da würde ich lieber auf die Farben verzichten und den
Code mit
 formatieren.

Harald Flügel schrieb:
> im Forum "FPGA, VHDL & Co." werden immer wieder mal Code-Fragmente in
> Verilog gepostet.

Dieses nur "immer wieder mal" dürfte der Grund sein, warum Andreas
bisher keine Arbeit in das Verilog-Highlighting gesteckt hat. Nach
meiner Schätzung wird deutlich häufiger Perl-, Python- und Ruby-Code
gepostet, für die es ebenfalls kein Highlighting gibt. Wenn also Verilog
unterstützt wird, müssten konsequenterweise auch alle anderen gängigen
Sprachen unterstützt werden.

Bei kurzen Code-Fragmenten ist der Nutzen des Highlightings aber ohnehin
nicht besonders groß. Und längere Designs/Programme hat man auch schnell
in einen Texteditor kopiert, der noch mit ganz anderen Sprachen zurecht-
kommt.

Aber nichts gegen Verilog. Ich persönlich finde diese Sprache (insbeson-
dere Verilog 2001) angenehmer zu lesen und vor allem zu schreiben als
VHDL.

von Harald F. (hfl)


Lesenswert?

Yalu X. schrieb:
> Da würde ich lieber auf die Farben verzichten und den
> Code mit
>
>
> formatieren.

Womit? Was hast Du denn geschrieben? Ich kann das nicht lesen.

Bei den vielen Sprachen hast Du natürlich Recht. Man kann da nicht alles 
unterstützen. Da ich nahezu ausschließlich in FPGA-Forum unterwegs bin, 
ist mir dieser Aspekt gar nicht aufgefallen. Betriebsblindheit 
meinerseits, sorry.

von Rufus Τ. F. (rufus) Benutzerseite


Lesenswert?

Harald Flügel schrieb:
> Womit? Was hast Du denn geschrieben? Ich kann das nicht lesen.

[ p r e ]

[ / p r e ]

(jeweils die Leerzeichen weglassen).

Das steht aber auch alles hier 
http://www.mikrocontroller.net/articles/Formatierung_im_Forum, und das 
wiederum ist oberhalb des Texteingabefeldes verlinkt:

Formatierung (mehr Informationen ...)

von Yalu X. (yalu) (Moderator)


Lesenswert?

Rufus Τ. Firefly schrieb:
> Harald Flügel schrieb:
>> Womit? Was hast Du denn geschrieben? Ich kann das nicht lesen.
>
> [ p r e ]
>
> [ / p r e ]

Eigentlich habe ich [c o d e] und [/ c o d e] (ohne Leerzeichen)
geschrieben, das scheint aber das Gleiche zu sein ;-)

Aber grr! Ich habe extra noch in der Vorschau nachgeschaut, wie die Tags
im laufenden Text behandelt werden, und wollte dann stattdessen "code"-
Tags schreiben. In einem Moment der Ablenkung ist aber die Maus doch auf
den "Absenden"-Button geplumpst :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.