Forum: HF, Funk und Felder Ladderfilter berechnen und bauen


von KLS (Gast)


Lesenswert?

Hallo,

dieser Beitrag beschäftigt sich mit dem Berechnen (Simulieren) und Bauen 
von Ladderfiltern.

Er ist aus dem Beitrag

Beitrag "verbessertes Ten-Tec Audion"

hervorgegangen.


Von dort stammen auch die Zitate im nächsten Posting.

von KLS - Zitat Bernd (Gast)


Lesenswert?

[Zitat Bernd aus dem o.g. Beitrag, B e r n d W. schrieb im Beitrag 
#2671760:]

> Noch mal zum Ladderfilter:
> gibt es einen konkreten mathematischen Zusammenhang zwischen
> Quarzfrequenz und Durchlasskurve?
http://www.giangrandi.ch/electronics/crystalfilter...

Es gibt da dieses Ersatzschaltbild für Quarze. Wenn man den Quarz als
Blackbox sieht, verhält er sich als wären diese Teile eingebaut. Ich
kauf mir 20-30 Quarze und vermesse sie. Dann werden sie in Gruppen
eingeteilt, die möglichst ähnliche Parameter haben. Vermessen werden sie
mit einem Clapposzillator. Die Serienresonanz wird mit 3 verschiedenen
Fußkapazitäten ermittelt und in ein Excel Sheet eingetragen.

Daraus werden Reihen und Serienresonanz berechnet und man bekommt ein
Gefühl dafür, wie weit und wie leicht sich ein Quarz ziehen läßt.
Wichtig dabei ist der Abstand zwischen Parallel- und Serienresonanz.
Liegen diese zu dicht zusammen, hat der Quarz eine zu hohe Güte und die
erzielbare Bandbreite ist zu schmal. Wird die Güte zu klein, bekommt man
einen runden Durchlassbereich.

Dann kann man diese Parameter in LTspice übernehmen und das Filter
simulieren. Es ist schön zu sehen, wie gut Spice-Simulation und Dishal
übereinstimmt. Aber in der Realität ist das Filter dann meist etwas
schmalbandiger und die Bandbreite muß nochmal angepasst werden. Dazu
wechselt man bei allen Kondensatoren auf den nächst kleineren Wert.
Möglicherweise liegt das bei mir an einem systematischen Messfehler wie
Sockelkapazität nicht mit einberechnet...

Filter mit maximal 4 Quarzen sind noch relativ leicht aufzubauen.
Darüber sollte man über geeignete Meßmittel wie einen
Netzwerk-Analysator verfügen oder es wird, wie bei mir, eine Fummelei.

Typische Frequenzen sind 8, 9, 10 und 10.7 MHz. Bei diesen Frequenzen
ist es einfach möglich, für CW ein 400 oder 600Hz, für SSB 2,7kHz und
eventuell sogar eine schmales AM-Filter aufzubauen. Danach ist es aber
einfacher einen Produktdetektor nachzuschalten, als nochmal auf eine
zweite ZF runterzumischen, um dann zu versuchen, an der
Filtercharakteristik noch irgendwas zu verbessern.

Einen Nachteil möchte ich noch erwähnen: Ladderfilter haben einen
asymetrischen Durchlaßbereich. Die hochfrequente Flanke des Filters ist
ziemlich steil wegen der Parallelresonanz und die niederfrequente
verläuft umso flacher, je breitbandiger ein Filter wird. Deshalb wird es
schwierig, ein steiles AM-Filter mit >>6kHz Bandbreite nach der
Ladder-Methode aufzubauen. Das geht nur mit sehr vielen Quarzen.

Gruß, Bernd

[Zitat Ende. Leider akzeptiert diese Seite keine längeren Zitate, 
deshalb ohne Zitatmarkierung ">"]

von KLS - Zitat Bernd (Gast)


Angehängte Dateien:

Lesenswert?

[Zitat Bernd aus dem o.g. Beitrag, B e r n d W.]

> anscheinend kann man da was machen:
> http://www.mydarc.de/dk4sx/ladderfilter.htm
Ich kenn das, es bringt aber nicht viel. Das hier angehängte GIF zeigt
den Vergleich. Es ist IMHO besser, einen weiteren Quarz einzubauen.

> kommen die asymmetrischen filterkurven von
> den parasitären Cs der quarzgehäuse.
> warum nicht einfach die quarze aus den gehäusen holen,
> (sägen funzt :O))
Wie, Du hast schon gesägt? Der Cp ist das einige Bauteil im
Ersatzschaltbild, das durch die reelle Kapazität entsteht. Aufsägen
reduziert Cp nur um ca. 1pF. Der Quarz selber stellt ja einen idealen
Kondensator dar mit Dielektrikum. Ohne schützendes Gehäuse altert der
Quarz schneller.

>Welche Werte muss man bei dem Xtal-C angeben
Das sind typische Werte, nur zum "Spielen".

Cp = 5pF (3-7)
Cs = 18fF dieser Wert variiert abhängig von der Güte
Rs = 20 Ohm bei 15MHz bis 200 bei 1MHz (Datenblatt)
Ls = 27.76mH davon hängt die Frequenz ab

[Zitat Ende. Anhänge auch von Bernd]

von KLS (Gast)


Lesenswert?

Hallo Bernd,

hier eine Frage vorweg:

brächte es Vorteile, wenn man das HF-Signal über Bandfilterspulen ein- 
und auskoppeln würde?

So würde man

1. die Last besser(?) anpassen können

2. die Flanken steiler machen können



Habe jetzt auch LTspice installiert, die C(res)-Frequenz vom Xtal läßt 
sich ja ganz einfach über "Capazitance[F]" und "Equiv. Parallel 
Inductance[H]" einstellen (kann man ja z.B. mit Ringkernrechner 
ermitteln).
Wie kommt man denn auf die 20fF? Kann der Wert praktisch bei allen 
Quarzen verwendet werden?


Ich habe hier noch ein kleines Konvult an 3.579545MHz-Quarzen.
(Aufdruck: CQ 3.579545 im HC49-Gehäuse, leider kein Datenblatt)


Damit würde ich gerne ein erstes Ladderfilter für SSB aufbauen.
Mir ist klar, dass es ein Kompromis wird:

-f liegt im 80m-Band (dafür kann ich aber die fertige 80m-Steckspule 
fürs TT-Audion benutzen :-) und in dem niedrigen f-Bereich läßt es sich 
extrem leicht abstimmen)

-die Quarz-Frequenz ist zu niedrig, um ein 3kHz-breites Filter mit 
symetrischen steilen Flanken aufzubauen. Deshalb würde ich mich auch mit 
etwas mehr als 2kHz und leichter Asymmetrie zufriedengeben (kein 
High-End-SSB ;-))).



Bernd schrieb:
> Vermessen werden sie
> mit einem Clapposzillator.

http://de.wikipedia.org/wiki/Clapp-Schaltung

Nehme an, so etwas hier mit Xtal (+ Mess-Cs) statt C1/L1:

http://de.wikipedia.org/w/index.php?title=Datei:Clapp_oszillator.GIF&filetimestamp=20070108194422

Kannst du den Schaltplan von dem Oszi posten, mit dem du vermessen hast?



Noch eine Frage zur LTspice-Simulation:

Wenn ich die Datei Quarzfilter_2.457.asc starte und für eine graphische 
Darstellung auf das "Pick visible Traces"-Icon klicke, öffnet sich ein 
Graphik-Fenster Quarzfilter_2.457.raw .

Dort ist der Bereich auf der "Horizontal Axis" bereits festgelegt (läßt 
sich nach dem Draufklicken zwar zunächst in einem anderen Fenster 
ändern, die Änderungen werden aber danach nicht ins Diagramm 
übernommen).

Wie kann man den Bereich so einstellen, dass man auch Filter mit Quarzen 
anderer Frequenzen untersuchen kann und was bedeuten die Zahlen hinter 
V1 SINE(0 2 6.556Meg), ich verstehe nicht ganz den Zusammenhang zu 
2.457MHz?


So viel erst mal (mir scheint, Ladderfilter baut man beim ersten Mal 
nicht an einem Nachmittag)

von Ralph B. (rberres)


Lesenswert?

KLS schrieb:
> Wie kommt man denn auf die 20fF?

Ein Quarz kann man sich wie ein Serienresonanzkreis mit einer 
Prallelkapazität ( Kapazität der Quarzanschlüsse ) vorstellen.

Das LC Verhältnis bestimmt mit dem Serienresonanzwiderstand die Güte des 
Quarzes. Weil die Güte des Quarzes aber eine Betrag von mehreren 10000 
bis sogar mehreren 100000 aufweisen kann, muss das L sehr groß und das C 
sehr klein sein, damit der Resonanzwiderstand sehr groß wird. Die Güte 
ist im wesentlichen Resonanzwiderstand / Serienwiderstand.

Dieser extrem kleine Wert der Kapazität von wenigen Femtofarad und die 
große Induktivität  bis weit in den Milihenry mitunter Henry Bereich ist 
somit für eine hohe Quarzgüte Voraussetzung.

Man kann das alles nachlesen im Quarzkochbuch von Bernd Neubig. Der hat 
das sehr gut erklärt.

Ralph Berres

von KLS (Gast)


Lesenswert?

Ralph Berres schrieb:
> Weil die Güte des Quarzes aber eine Betrag von mehreren 10000
> bis sogar mehreren 100000 aufweisen kann, muss das L sehr groß und das C
> sehr klein sein, damit der Resonanzwiderstand sehr groß wird. Die Güte
> ist im wesentlichen Resonanzwiderstand / Serienwiderstand.

Ahaaaaaa!   :-)


> Man kann das alles nachlesen im Quarzkochbuch von Bernd Neubig. Der hat
> das sehr gut erklärt.

Habe neulich schon irgendwo einen Link zu diesem buch hier im Forum 
gefunden. Leider funktionierte der Link nicht mehr.

Habe aber beim Googeln das hier entdeckt:
http://material.htlwien10.at/wissensspeicher/Quarze_Schwingquarze_Quarzoszillatoren_Resonatoren/Quarzkochbuch_5MB.pdf

von B e r n d W. (smiley46)


Lesenswert?

> 1. die Last besser(?) anpassen können
Die Anpassung ist sehr wichtig. Im Durchlassbereich muß die Impedanz 
eingehalten werde. Halbier mal in der Simulation die Abschlußwiderstande 
-> der Durchlaßbereich wird wellig. Außerhalb des Durchlassbereiches 
sollte die Anpassung zumindest nicht hochohmiger werden, besser sie 
bleibt konstant. Oft wird ein Reihenschwingkreis zur 
Impedanztransformation verwendet.

> 2. die Flanken steiler machen können
Das ändert an den Flanken so gut wie nichts, jedoch die Weitabselektion 
wird besser. Quarze können Nebenresonanzen haben. Ab einer Quarzzahl von 
5-6 sind diese Nebenstellen ausreichen unterdrückt. Aber ein weiteres 
Filter ist durchaus hilfreich.

> Wie kommt man denn auf die 20fF?
In der Realität sind bei mir bisher Werte zwische 15 und 23 fF 
aufgetaucht. Ich kann da bisher keinen großen Zusammenhang zur 
Quarzfrequenz feststellen. In der Ersatzschaltung hat der Wert natürlich 
einen direkten Einfluß auf die Resonanzfrequenz. Der Cp kann schon je 
nach Lieferant bei Quarzen gleicher Frequenz um ca. 3fF variieren.

Die Reihenresonanz errechnet sich aus Cr und Lr, die Parallelresonanz 
aus (Cr in sere mit Cp) und Lr. Durch die Serienschaltung liegt die 
Parallelresonanz oberhalb der Serienresonanz.

Jetzt kann man die Serienresonanz mit einem in Serie zum Quarz 
geschalteten Kondensator "ziehen". Dadurch verschiebt sich die 
Serienresonanz nach oben. Die Parallelresonanz kann aber nie erreicht 
werden.

Durch eine in Serie geschaltete Induktivität kann man den Quarz nach 
unten "ziehen". Wird die Induktivität zu groß, also zieht man zu weit, 
verliert das Ganze die Quarzeigenschaften und es entsteht ein normaler 
Schwingkreis.

Die Kondensatoren des Ladderfilters gegen GND ändern hauptsächlich den 
Koppelfaktor zwischen den Quarzen, Das Filter wird also 
schmaler/breiter.

> ein kleines Konvult an 3.579545MHz-Quarzen
Wenn Du Glück hast, ist die Güte schlecht, dann wird es breiter. 
Eventuell sind dann 2.2-2.4 kHz zu schaffen und alles wird gut. Es kann 
aber auch sein, das Filter wird grottenschlecht. Ein zuverlässig gutes 
Resultat wird nur durch Vermessen und selektieren erreicht. Fs sollte 
nicht weiter als 50 Hz streuen.

Ltspice
Klick mal mit der rechten Maustaste auf die Zeile
.ac dec ...

1. Die erste Lasche links oben zeigt Transient.
Dabei handelt es sich um eine Simulation im Zeitbereich. Die Simulation 
erfasst Klein- und Großsignalverhalten. Stop Time gibt die simulierte 
Dauer vor. Die Frequenz wird durch einen schwingenden Oszillator oder 
eine äußere Spannungsquelle vorgegeben. Die 6.556Meg stammen noch von 
einer alten Simulation und werden hier nicht verwendet. Du kannst aber 
2.457Meg angeben.

Zuvor ist es empfehlenswert, bei den Einstellungen von LT-Spice (das 
Hammer-Symbol), alle drei Haken bei Compression auszuschalten.

Wird eine Schwingung angezeigt, kann man mit der rechten MAustaste auf 
das Diagramm klicken und View / FFT wählen, dann bei Window Function: 
Hamming. Dann ein Signal auswählen und ok Drücken. Es wird das 
Frequenzspektrum des Signals angezeigt mit Harmonischen.

2. Auf der zweiten Lasche steht AC Analysis.
Die Anzeige geschieht im Frequenzbereich, es werden also Frequenz- und 
Phasengänge berechnet. Es wird nur das Kleinsignalverhalten simuliert. 
Falls Arbeitspunkte von aktiven Bauelementen den Frequenzgang 
beeinflussen, sollte dies vorher in der Transienten-Analyse optimiert 
werden. Die 4 Felder müssen sinvoll ausgefüllt werden, also 
Frequenzbereich und die Auflösung vorgeben. Bei sehr schmalen Filtern 
sind schon mal 1e6 Punkte pro Dekade notwendig. Im HF-Bereich verwendet 
man Dekaden, im NF-Bereich Oktaven als anschauliche Frequenzspanne.

von B e r n d W. (smiley46)


Lesenswert?

Quarzfilter Kochbuch
http://www.axtal.com/info/buch.html
"Ganzes Buch" wählen!

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

Hallo KLS

Hier kommt noch der Oszillator. Jenachdem ist es ein Colpitts oder 
Clapp.

http://www.giangrandi.ch/electronics/crystalfilter

Wie bei giangrandi angegeben, wird mit unterschiedlichen 
Ziehkondensatoren die Resonanzfrequenz ermittelt. Daraus kann dann auf 
die Quarzparameter zurückgerechnet werden. Das Poti so einstellen, daß 
der Oszillator mit allen Quarzen einer Serie gerade sicher schwingt.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

Danke für die ausführlichen Erklärungen! Ich komme später noch darauf 
zurück.

Im Moment löte ich den Clapp-Oszillator für die Quarzmessungen zusammen. 
Was ist dieses V2-PULSE-Ding im Schaltplan?


Mein Frequenzzähler kann leider nur auf ein kHz genau messen.
Deshalb habe ich das etwas abenteuerliche Vorhaben überlegt, die 
geforderten Frequenzen per Weltempfänger zu ermitteln. Der Weltempfänger 
kann zwar auch nur auf ein kHz genau, aber im SSB-Modus kann man den BFO 
verstellen und hört dann (wem sage ich dass) bei einem unmodulierten 
AM-Signal je nach Einstellung einen entsprechend hohen Ton.
Dessen Tonhöhe müsste man irgendwie in Zusammenhang mit der 
Frequenzabweichung von der eingestellten kHz-Zahl auswerten.

z.B. so:

Quarzfrequenz x = Weltempfängerfrequenz + NF-Frequenz USB-Pfeifton

Die NF-Frequenz kann mit dem Frequenzmesser sehr genau bestimmt werden.

Ich bin nur noch nicht ganz sicher, ob das so funktioniert.
Müssen die Werte absolut richtig sein, oder würde auch eine relative 
Genauigkeit ausreichen? Die Quarze nach möglichst gleicher Pfeiftonhöhe 
zu selektieren, dürfte überhaupt kein Problem sein.

Für eine absolute Messung müsste der Ton irgendwie quantifiziert 
werden...


PS: wie bestimmt man mit dem Clapp eigentlich fp?
http://www.giangrandi.ch/electronics/crystalfilters/xtalresponse.gif
bzw.
http://www.giangrandi.ch/electronics/crystalfilters/xtaltest.html

von KLS (Gast)


Lesenswert?

Oszi läuft jetzt.

Die beiden Messkapazitäten sind 7pF und 24pF (gemessen direkt im 
Schaltungsaufbau, alleine gemessen haben beide Cs jew. 1p weniger).

Messreihe beginnt morgen...

:-)

von B e r n d W. (smiley46)


Lesenswert?

>Was ist dieses V2-PULSE-Ding im Schaltplan?
Die Spannung erzeugt einen 3ns Impuls und beschleunigt damit das 
Anschwingen. Sonst dauert mir die Simulation zu lange. Selbst ein realer 
Quarz kann mal ein paar 100 ms fürs Anschwingen benötigen.

> Für eine absolute Messung müsste der Ton irgendwie
> qualifiziert werden

Du kannst mal mit deinen Weltempfänger prüfen, indem Du nachts im 75m 
Band auf 3955, 3965 kHz und den Zeitzeichensender in Moskau RWM auf 4996 
kHz einstellst. Falls der BFO bei jedem dieser Sender an der gleichen 
Stelle in Schwebung kommt, hast Du die richtige Stelle. Dann suchst Du 
mit dieser BFO-Einstellung die Quarzfrequenz und gehst 1kHz runter. Der 
Quarz schwingt dann auf der angezeigte Frequenz plus Schwebungston. Es 
reicht eventuell schon die Anzeige auf dem Oszi aus oder die Soundkarte 
mit entsprechender Software.

Wie wärs mit einem besseren Frequenzzähler?

> wie bestimmt man mit dem Clapp eigentlich fp
Der schwingt nur auf Serienresonanz, fp müsste berechnet werden. Wir 
haben drei Frequenzen und drei Unbekannte.

Eventuell könnte man sich auch was mit dem Dipmeter-Oszillator überlegen 
und die Resonanzpunkte so ausmessen.

von B e r n d W. (smiley46)


Lesenswert?

>Die beiden Messkapazitäten sind 7pF und 24pF (gemessen direkt im
>Schaltungsaufbau, alleine gemessen haben beide Cs jew. 1p weniger).
Kannst Du mal versuchen, Cp direkt zu messen?

von KLS (Gast)


Lesenswert?

Hallo Bernd!

B e r n d W. schrieb:
>>Was ist dieses V2-PULSE-Ding im Schaltplan?
> Die Spannung erzeugt einen 3ns Impuls und beschleunigt damit das
> Anschwingen. Sonst dauert mir die Simulation zu lange.

Ach so, so eine Art One-Shot-Generator zum Anstubsen!
Kann ich mir gut vorstellen, dass es keinen Spass macht, wor dem Rechner 
zu sitzen und zu warten, bis sich virtuelle Cs aufgeladen haben usw.
:-)


> Du kannst mal mit deinen Weltempfänger prüfen, indem Du nachts im 75m
> Band auf 3955, 3965 kHz und den Zeitzeichensender in Moskau RWM auf 4996
> kHz einstellst.

Habe es gestern Abend ausprobiert, die Sender haben alle drei die 
gleiche Schwebungsfrequenz.
Übrigens gute Idee, auf vorhandene Sender abzugleichen!!!


> Dann suchst Du
> mit dieser BFO-Einstellung die Quarzfrequenz und gehst 1kHz runter. Der
> Quarz schwingt dann auf der angezeigte Frequenz plus Schwebungston.

Also auf USB (nicht LSB!) stellen , dann z.B. bei 3955kHz den BFO auf 
1kHz abgleichen, dann aufgedruckte Quarzfrequenz auf 1kHz genau 
einstellen und je nach Frequenzlage beim Prüfling noch ein paar kHz hoch 
oder runterschalten, bis ein auswertbarer Schwebungston erklingt. Dessen 
Tonhöhe bestimmen und die gesuchte Quarzfrequenz (Messfrequenz) wie 
folgt ermitteln:


reale Quarzfrequenz im Messaufbau

=

angezeigte Weltempfängerfrequenz

+

Frequenz des Schwebungstons im USB-Modus


?!!

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> Wie wärs mit einem besseren Frequenzzähler?

Das wäre sehr gut! Habe nur im Moment keine richtige Idee, was als 
Schaltung in Frage kommt.

Könnte natürlich einen Buffer aufbauen, dahinter einen Frequenzteiler 
und dann das Ergebnis mit einem AVR auswerten, das funktioniert auch, 
die Frage ist nur, wie genau das ganze dann wird.
Spezielle Ideen für einen f-Zähler?


>> wie bestimmt man mit dem Clapp eigentlich fp
> Der schwingt nur auf Serienresonanz, fp müsste berechnet werden. Wir
> haben drei Frequenzen und drei Unbekannte.

Hm, auf
http://www.giangrandi.ch/electronics/crystalfilters/xtaltest.html
braucht man für den Kalkulator auch noch fp.

Zitat:
"First connect the 10 pF capacitor (position 1) and look for the 
frequency that gives the maximum signal at the output (minimum 
attenuation). This will be fs1. Than connect the 27 pF (position 2) and 
look for the maximum output again, and this will be fs2. Now connect the 
crystal directly (position 3) and look for maximum output (frequency 
fs3) and also for the minimum one (frequency fp)."

Wobei die Frage ist, was mit
>>... and also for the minimum one (frequency fp).
gemeint ist.

Bei deinem EXEL-Programm braucht man Cp nicht? Kannst du es mal posten?


> Kannst Du mal versuchen, Cp direkt zu messen?

Die Parallelkapazität bei direkter Messung als C ist 3pF +/- 0,5pF

von Ich und nicht er (Gast)


Lesenswert?

KLS - Zitat Bernd schrieb:
> Einen Nachteil möchte ich noch erwähnen: Ladderfilter haben einen
> asymetrischen Durchlaßbereich. Die hochfrequente Flanke des Filters ist
> ziemlich steil wegen der Parallelresonanz und die niederfrequente
> verläuft umso flacher, je breitbandiger ein Filter wird. Deshalb wird es
> schwierig, ein steiles AM-Filter mit >>6kHz Bandbreite nach der
> Ladder-Methode aufzubauen. Das geht nur mit sehr vielen Quarzen.

Hallo,

DK4SX hat symmetrische Ladderfilter berechnet und aufgebaut, dazu gibt 
es einen guten Artikel im Funkamateur 03/2010. Infos auch auf seiner 
Webseite http://www.mydarc.de/dk4sx/ladderfilter.htm Für ein 8kHz AM 
Filter ist jedoch eine Quarzfrequenz von 12 MHZ nötig.

73

von KLS (Gast)


Lesenswert?

Ich und nicht er schrieb:
> DK4SX hat symmetrische Ladderfilter berechnet und aufgebaut, dazu gibt
> es einen guten Artikel im Funkamateur 03/2010.

Hallo,

diese Möglichkeit hatten wir oben schon aufgegriffen, dort ist auch ein 
Diagramm von Bernd dazu.
Hier noch ein kurzes Zitat...

Bernd schrieb:
>> anscheinend kann man [in Bezug auf die Asymmetrie]da was machen:
>> http://www.mydarc.de/dk4sx/ladderfilter.htm
> Ich kenn das, es bringt aber nicht viel. Das hier angehängte GIF zeigt
> den Vergleich. Es ist IMHO besser, einen weiteren Quarz einzubauen.

Das besagte Diagramm dazu ist das erste in diesem Beitrag von oben.

von KLS (Gast)


Angehängte Dateien:

Lesenswert?

Habe zwei theoretische Messreihen ersonnen und ausgewertet (Bild), Reihe 
zwei (rechte Spalte) mit den gleichen Messfrequenzen der linken Spalte, 
aber jeweils minus 1 kHz.

Fazit: Bis auf die um 1 kHz verschobene Mittenfrequenz fallen die 
Ergebnisse so gut wie gleich aus.

Deshalb gehe ich davon aus, dass die Messwerte nicht absolut mit der 
richtigen Frequenz gemessen werden müssen, sondern nur relativ 
zueinander stimmen müssen (im Rahmen einer absoluten Abweichung der 
tatsächlichen Frequenz von ein paar kHz).

Darum wird es wohl genügen, aus der Reihe der zu vermessenden Quarze ein 
Kalibrierquarz zu "ernennen" und zu diesem relativ zu messen.


Gibt es andere Meinungen dazu?

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

@Ich und nicht er
> Für ein 8kHz AM Filter ist jedoch eine Quarzfrequenz von 12 MHZ nötig.
Das kommt in etwa hin, bei 10,7 MHz wäre ich auf max. 7 kHz gekommen, 
hab mich dann aber auf 6 kHz beschränkt. Für 8 kHz Bandbreite würde ich 
lieber ein Filter zukaufen, zumal bei meinen 10,7 MHz verfügbar. Die 
Schmalband-FM-Filter sind leider schon zu breit.

> dann das Ergebnis mit einem AVR auswerten
Bei der Angehängten Schaltung handelt es sich um eine FLL. Der 
Frequenzzähler misst die Oszillatorfrequenz, addiert oder subtrahiert 
die ZF-Ablage und vergleicht mit der Sollfrequenz. Diese wird auf 10Hz 
genau nachgeregelt. Dazu geht ein PWM-Signal wie bei der 
Frequenz-Feineinstellung hochohmig auf die Kapazitätsdioden, die so ein 
paar kHz Abstimmung zulassen.

Bei mir wird mit einem 10-Gang Poti auf die Empfangsfrequenz abgestimmt. 
Dann drück ich Enter. Die Regelung rastet jetzt auf diese Frequenz ein. 
Mit einem Drehencoder (Baustelle) kann später die Frequenz noch in 10Hz 
Schritten nachgestellt werden.

> die Frage ist nur, wie genau das ganze dann wird.
Die Grundgenauigkeit hängt von der Quarzfrequenz ab. Diese läßt sich mit 
C12 kalibrieren.

Oszillatorfrequenz ermitteln:
Ein kHz tiefer als die aufgedruckte Frequenz einstellen. Bei USB und 
Quarz direkt auf GND muß ein hörbarer Schwebungston entstehn. Falls der 
Receiver 1kHz tiefer empfängt, muß die Schwebung 1kHz höher sein. Dann 
eine Software verwenden die das Spektrum anzeigt wie z.B. Spectran:

http://digilander.libero.it/i2phd/spectran.html

> braucht man für den Kalkulator auch noch fp.
Fp hab ich bisher mit dem Messsender gemessen, es sollten aber genügend 
Informationen vorhanden sein, um Cp zu berechnen.

> Die Parallelkapazität bei direkter Messung als C ist 3pF +/- 0,5pF
Könntest Du mal andere Quarze durchmessen, ob dort auch höhere Werte mit 
~5pF auftauchen, dann könnte man dem Wert vertrauen.

von B e r n d W. (smiley46)


Lesenswert?

Hallo KLS

> Darum wird es wohl genügen, aus der Reihe der zu
> vermessenden Quarze ein Kalibrierquarz zu "ernennen"
> und zu diesem relativ zu messen.
Im Prinzip hast Du recht. Prozentuel macht ein kHz Meßfehler bei 3,5MHz 
praktisch nichts aus, aber..

später ist es von Vorteil, wenn Du es möglichst genau weißt. Bei welcher 
Frequenz liegen die Filterflanken, wo die Filtermitte, wo schwingt der 
BFO optimal für USB/LSB. Deshalb war der Weg mit dem Receiver schon 
richtig. Du wirst absolut auf <=100Hz Genauigkeit kommen und relaiv auf 
10Hz. Damit sollte sich das Filter bauen lassen.

Später wäre es eventuell denkbar, einen weiteren Quarz in den Oszillator 
einzusetzen, um damit die Durchlasscharakteristik des Filters zu 
vermessen.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Die Parallelkapazität bei direkter Messung als C ist 3pF +/- 0,5pF
> Könntest Du mal andere Quarze durchmessen, ob dort auch höhere Werte mit
> ~5pF auftauchen, dann könnte man dem Wert vertrauen.

Die meisten Quarze haben 3pF, ein paar haben 4p (z.B. ein 
6MHz-Grundton-Q.) und ein paar kommen auf 5pF (z.B. ein 8MHz-GT und ein 
36MHz-Oberwellenquarz mit 3. Oberwelle).
(es waren übrigens auch ein paar 2pF-Exemplare dabei)

Die Kapazitätswerte sind so weit ok, wie ich vom praktischen Basteln 
weiß.


>> Darum wird es wohl genügen, aus der Reihe der zu
>> vermessenden Quarze ein Kalibrierquarz zu "ernennen"
>> und zu diesem relativ zu messen.
> Im Prinzip hast Du recht. Prozentuel macht ein kHz Meßfehler bei 3,5MHz
> praktisch nichts aus, aber..

Ich sehe dabei vor allen Dingen das Problem, dass der Weltempfängen nur 
Nachts an den genannten Sendern kalibriert werden kann und ich auch 
gerne mal tagsüber messe ;-)
...da kommt mir ein Kalibrierquarz grade recht.
(vielleicht wäre es ganz gut, einen Kalibrieroszillator aufzubauen und 
anhand der genannten Sender abzugleichen - das wirft aber die Frage nach 
Stabilität/Temperaturdriftvermeidung etc. auf).

Langfristig hast du völlig recht, ein genauer Frequenzmesser muss her.

Die Sache mit dem SSB-Ladderfilter mit 3,579545MHz-Quarzen ist auch eher 
eine Spielerei, um mit der Materie warm zu werden. Das Ergebnis sollte 
aber trotzdem brauchbar sein.

Im Grunde wäre ein Netzwerktester für solche Dinge angebracht.


Danke für den Schaltplan zum FLL, muss ich mir mal in Ruhe ansehen.


Wenn alles klappt, poste ich später ein paar Ergebnisse von der ersten 
Quarzreihenmessung.

von KLS (Gast)


Lesenswert?

Habe mittlerweile 17 Quarze vermessen.
(ein paar waren schon in der Vorauswahl rausgeflogen und wurden nicht 
weiter erfasst).


Hier eine kleine Auswahl der Rohmessung, ich kann gerne auch alle 
Ergebnisse posten:

       3579   3580   3581 [kHz] Weltempfänger-f

 6.    945    713    959  [Hz] Schwebungs-f (USB)
 8.    974    700    884
 9.    965    683    844
10.    972    719    939
11.    985    727    927
14.    954    717    969


Nach dieser Seite hier
http://www.giangrandi.ch/electronics/crystalfilters/xtaltest.html
müsste jetzt noch fp (Crystal parallel resonance frequency) ermittelt 
werden.

Bernd, du meintest ja, dass man den Wert fp aus den anderen dreien 
errechnen kann; kannst du sagen, wie das geht und/oder die besagte 
Exel-Datei posten?

Dann die nächste Frage, bei dem "Crystal ladder filter calculator" 
steht:
>It's also important to select crystals with very similar characteristics (the 
>resonance frequencies should be within 100 Hz).
http://www.giangrandi.ch/electronics/crystalfilters/xtalladder.html

Das würde auf die o.g. Quarzreihe mehr oder weniger zutreffen.
Wenn man damit eine 6-Quarze-Filterbank aufbauen wollen würde, müsste 
man erst noch jeweils einen Mittelwert für f(brücke), f(7p) und f(24p) 
errechnen und diesen bei "Crystal ladder filter calculator" eintragen 
oder besser das Filter direkt bei LTspice simmulieren und dort die 
Filterwerte real eingeben?

von KLS (Gast)


Lesenswert?

KLS schrieb:
> ... oder besser das Filter direkt bei LTspice simmulieren und dort die
> Filterwerte real eingeben?

Wobei man ja irgendwie wenigstens näherungsweise die C-Werte für die 
gewählte Bandbreite berechnen müsste...

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

Hallo KLS

> ein paar waren schon in der Vorauswahl rausgeflogen
> und wurden nicht weiter erfasst
Den mit der höchsten Frequenz würde ich mir als BFO-Quarz reserviceren. 
Runterziehen geht einfacher als hoch. Ein BFO in Verbindung mit dem 
TenTec Funktioniert besser als das Audion freischwingend.

Ich hab die Werte iterativ ermittelt.
Cp      Cs       Ls     Rs
3pF  11.94fF  165.54mH  90

  Fs     F (24p)  F (7p)     Fp
3579866  3580710  3581920  3586980

Mit iterativ meine ich: Cs und Ls so verändert, daß mit Deinen 
Ziehkondensatoren die Frequenzänderung identisch ist.

Schau mal selber, ob das so passt. Den Rs hab ich aus einem Datenblatt 
von einem Quarz der selben Frequenz, es können zwar leichte Abweichungen 
da sein, das wirkt sich aber eher auf die Einfügedämpfung aus. So 
beträgt die Bandbreite leider nur 2 kHz.

Eine Drossel mit 220µH und 2pF muß man selbst wickeln, die gekauften 
haben 20pF parasitäre Kapazität.

Gruß, Bernd

PS
Probier das Filter mal mit folgenden Werten:
15pF, 18pF, 100pF

von KLS (Gast)


Lesenswert?

Hallo Bernd,

vielen herzlichen Dank fürs Rechnen und die asc-Dateien!

B e r n d W. schrieb:
> Mit iterativ meine ich: Cs und Ls so verändert, daß mit Deinen
> Ziehkondensatoren die Frequenzänderung identisch ist.

Mit der Quarz_3.579.asc-Datei ermittelt?


> Den mit der höchsten Frequenz würde ich mir als BFO-Quarz reserviceren.
> Runterziehen geht einfacher als hoch. Ein BFO in Verbindung mit dem
> TenTec Funktioniert besser als das Audion freischwingend.

Der höchste hat bei F(Brücke statt C) 3,58014MHz

wo würde das BFO-Signal am besten eingekoppelt werden, vor oder hinter 
dem Ladderfilter?


> So
> beträgt die Bandbreite leider nur 2 kHz.

Ich sehe es! :(


> Eine Drossel mit 220µH und 2pF muß man selbst wickeln, die gekauften
> haben 20pF parasitäre Kapazität.

Eine Drossel mit 220µ und 2p, geht das überhaupt?

Ich frage mich, ob die Drossel überhaupt nötig ist und ob das Audion bei 
angezogener RK nicht sowieso die Flanken steilzieht!?


> Probier das Filter mal mit folgenden Werten:
> 15pF, 18pF, 100pF

Das sieht doch sehr gut aus!!!

:)


Wo du das mit dem Quarz-BFO geschrieben hast kam mir die Idee, ob man 
eigentlich auch ein Quarzaudion bauen kann. Also ein Audion, dass statt 
LC ein Quarz plus Zieh-C besitzt. Müsste doch eigentlich möglich sein...
(nur der Empfangsbereich wäre eben seeehr begrenzt)



Diese Quarzfilter setzen die Signalstärke ganz schön herab, -60dB wären 
bei NF schon unhörbar.

Wie weit kann sich denn eigentlich die Welligkeit unangenehm bemerkbar 
machen?

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

>> Mit iterativ meine ich: Cs und Ls so verändert, daß mit Deinen
>> Ziehkondensatoren die Frequenzänderung identisch ist.
> Mit der Quarz_3.579.asc-Datei ermittelt?
Ja, Du siehst beim Verändern der Ziehkapazität, wohin sich die 
Serienresonanz verschiebt. Das hängt vom Verhältnis Zieh-/Cs ab. Wenn 
sich Cs verkleinert, muß sich Ls im gleichen Verhältnis vergrößern, 
damit die Grundresonanz an der gleichen Stelle bleibt.


> wo würde das BFO-Signal am besten eingekoppelt werden,
> vor oder hinter dem Ladderfilter?
So wie hier:
Beitrag "Re: Superhet-Audion"
Beitrag "Re: Festfrequenzaudion nach ZF-Filter"
Die genaue Stelle muss man bei der Tentec-Schaltung ausprobieren. 
Eventuell mit 10pF an den Source vom J2.

Das gif ist aus dem zweiten Thread:
4. Typische Kurve eines Audions, welches auch gleich demoduliert. Beide 
Seitenbänder werden erfasst.
3. ideale Filterkuve aus mit zugesetztem BFO.
2. Reale Filterkurve. Die Flanken sind steil, die Trennung zum 
"falschen" Seitenband ist gut und der Durchlassbereich flach.
1. Durch den externen BFO kann das Q-Multiplier-Maximum in der Mitte 
sitzen.


> Eine Drossel mit 220µ und 2p, geht das überhaupt?
Könnte man so ungefähr auf einen Ringkern wickeln. Im Endeffekt liegen 
die parasitäre Kapazität der Spule und Cp des Quarzes parallel. Zusammen 
mit der Induktivität muß die Resonanzfrequenz auf Quarzfrequenz liegen. 
Um das abstimmen zu können, benötigt man aber die entsprechende 
Messtechnik, welche die Filterkurve bei -80dB noch sichtbar macht.


> Ich frage mich, ob die Drossel überhaupt nötig ist und ob
> das Audion bei angezogener RK die Flanken steilzieht!?
Das Filter ist ohne die Induktivität nicht wirklich schlecht. Wenn man 
von Asymetrie spricht, ist das wie Jammern auf hohem Niveau. Auch mit 
dem Tentec-Nachsetzer kann man da kaum noch was verbessern.


> ein Audion, dass statt LC ein Quarz plus Zieh-C besitzt
Der Quarz kann als Filter dienen mit einer relativ schlechten 
Weitabselektion von ca. 35dB. Die schmale Bandbreit von 100 Hz bewirkt 
auch eine geringe Datenrate, zu schmal für Sprache, aber CW 
funktioniert.

Es gibt meist Nebenresonanzen, möglicherweise läßt der Quarz 300kHz 
höher wieder "durch". Da diese Nebenstellen bei jedem Quarz wo anders 
liegen, ist ein Ladderfilter ab 5 Quarzen praktisch Nebenstellenfrei.

Zrotzdem werden Quarze manchmal auch zur Vorselektion verwendet, wie im 
30m-Band bei 10.140MHz für QRSS verwendet. Der komplette interessante 
Bereich ist dort ja nur 100Hz breit.


> Diese Quarzfilter setzen die Signalstärke ganz schön
> herab, -60dB wären bei NF schon unhörbar.
Theoretisch sind die 90-100 dB machbar. Dies wird aber durch 
Übersprechen zunichte gemacht. Der Komplette Aufbau müßte Stufe für 
Stufe in abgeschirmten Bereichen stattfinden, die Betriebsspannung 
sauber abgeblockt werdenu das Quarzfilter müßte auf zwei Kammern 
verteilt werden. Anders sind halt nur 70 oder 80 dB Dämpfung 
realistisch.

Bei 10m ist das Hintergrundrauschen schon relativ klein. Es kann 
vorkommen, daß ein Nutzsignal mit 1µV ein paark kHz neben einem 
Störsignal mit 100mV liegt. Dies entspricht einem Dynamikbereich von 
100dB.


> Wie weit kann sich denn eigentlich die Welligkeit
> unangenehm bemerkbar machen?
Eine Welligkeit von 2-3 dB ist kaum hörbar.

von KLS (Gast)


Angehängte Dateien:

Lesenswert?

Hallo Bernd,

Danke für das ausführliche Posting von neulich!

Ich würde gerne so eine Art Liste erstellen, die man abarbeiten kann, um 
seine Quarze für ein Ladderfilter zu vermessen und damit das Filter zu 
simmulieren. Natürlich gibt es mehrere Wege/Möglichkeiten...

Also, ich fange mal an, wie ich es verstehe:


Für die Ladder-Filtersimmulation werden die Werte Cp, Cs, Ls und Rs 
benötigt:

Cp läßt sich mehr oder weniger direkt mit einem C-Messgerät bestimmen 
und beträgt meistens um 3pF (2p - 5pF)

Rs kann aus Datenblättern von Quarzen vergleichbarer Frequenz 
abgeschätzt werden (meistens ca. 100R)

Cs läßt sich aus den selbstermittelten Parametern fs1, fs2 und fs3 
bestimmen

Ls ergibt sich automatisch aus der Schwingkreisformel. Die 
Quarzfrequenz ist bekannt und Cs auch. Daraus kann Ls errechnet werden.


Vorgehensweise zur Ermittlung von Cs :

-zuerst bei allen Quarzen die Frequenzen fs1, fs2 und fs3 bestimmen (s = 
seriell)
 fs1: Brücke statt seriellem C
 fs2: seriellen 27p-C
 fs3: seriellen 10p-C
 (es können auch andere C-Werte genommen werden, wenn dies in die 
Berechnung mit einfließt)

-diejenigen Quarze rauspicken, die untereinander die geringsten 
Abweichungen haben

-für diese Quarze die Mittelwerte für fs1, fs2 und fs3 bestimmen

-als nächstes z.B. mit LTspice den Wert Cs ermitteln/abschätzen

B e r n d W. schrieb:
> Ich hab die Werte iterativ ermittelt.
> Cp      Cs       Ls     Rs
> 3pF  11.94fF  165.54mH  90
>
>   Fs     F (24p)  F (7p)     Fp
> 3579866  3580710  3581920  3586980

B e r n d W. schrieb:
>> Mit der Quarz_3.579.asc-Datei ermittelt?
> Ja, Du siehst beim Verändern der Ziehkapazität, wohin sich die
> Serienresonanz verschiebt. Das hängt vom Verhältnis Zieh-/Cs ab. Wenn
> sich Cs verkleinert, muß sich Ls im gleichen Verhältnis vergrößern,
> damit die Grundresonanz an der gleichen Stelle bleibt.

Ich verstehe den Schritt von fs1, fs2 und fs3 zu Cs noch nicht so 
richtig...
Wenn man mit LTspice Cs abschätzen will, müssen ja die anderen Werte 
schon (näherungsweise) bekannt sein, also Cp, Ls und Rs. Aber LS kann 
man ja eigentlich noch gar nicht wissen/berechnen.

Kannst du das in kleinen Scheibchen erklären?


So viel erst mal, viele Grüße!



PS: interessantes Diagramm! Was bedeutet bei 4. in der Praxis "Beide 
Seitenbänder werden erfasst"?

von B e r n d W. (smiley46)


Lesenswert?

Hallo KLS

> Ich verstehe den Schritt von fs1, fs2 und fs3 zu Cs noch nicht so
Ein sauberer Schritt wäre jetzt, die Formel dafür zu erarbeiten. Es gibt 
3 Frequenzen und zwei Unbekannte. Cp ist nicht beteiligt, es liegen nur 
Cs, Ls und der Ziehkondensator in Reihe.

Auf dem Weg des geringsten Widerstandes als Notlösung hab ich typische 
Werte für Cs und Ls verwendet und mit dem Ziehkondensator die 
Serienresonanz geändert.

Mittelwerte gemessen:
  FS3 = 3581.920 kHz
- FS1 = 3579.866 kHz
--------------------
           4.265 kHz

Simulation:
Cs=18fF, LS=109.8082mH
->
  Fs3 = 3583.081 kHz
 -Fs1 = 3579.866 kHz
--------------------
           3.215 kHz

Cs = Cs_alt * 3.215 / 4.265 = 13.569fF
Ls = Ls_alt * 4.265 / 3.215 = 145.671fF

Mit diesen Werten macht man das Ganze nochmal. Ziehbereich ermitteln, Cs 
und Ls im Verhältnis verändern, dann stimmt der Ziehbereich schon auf 1% 
genau mit dem gewünschten Wert überein:

Cs = 11.94fF
Ls = 165.54mH

> Rs kann aus Datenblättern von Quarzen vergleichbarer
> Frequenz abgeschätzt werden (meistens ca. 100R)
Mangels anderer Möglichkeiten hab ich das so gemacht. Erstmal variiert 
der Rs von >200 Ohm bei 1 MHz bis 20 Ohm bei 20MHz. Dann hängt es von 
der Bauform ab, vermutlich von der Größe der Scheibe. Niedrige 
SMD-Quarze sind hochohmiger. Besser den ecchten Wert aus dem Datenblatt 
verwenden.

> 4. "Beide Seitenbänder werden erfasst"?
Das Audion muß auf eine Frequenz abgestimmt werden, die den richtigen 
Träger für die Demodulation des Seitenbandes ergibt, leider nicht auf 
die Mitte des Seitenbandes (hier LSB). Dadurch liegt das falsche 
Seitenband (USB) genausogut im Durchlassbereich, zwischen den 
Seitenbändern findet überhaupt keine Selektion statt. USB ist hier aber 
der nächste Kanal und eigentlich ein LSB-Signal in USB-Lage und 
unerwünscht!

Auf der anderen Seite ist die Durchlasskurve schon selektiv: Sie 
reduziert die Höhen des gewünschten Signals.

Gruß, Bernd

von B e r n d W. (smiley46)


Lesenswert?

Gegeben:
Cz = 7pF
Cp = 3pF
Rs = 90
Fs = 3579866
F3 = 3581920

Ohne Cz (Ziehkondensator)
hängt Fs nur von Cs und Ls ab.

Mit Cz
hängt Fs von CS in Reihe mit (Cz+Cp) und Ls ab.

Das ergibt zwei Gleichungen, nach Ls aufgelöst, gleichgesetzt und dann 
nach Cs aufgelöst:

Cs = (Cz+Cp) * (F3^2 / Fs^2 -1)
Cs = 11.47858 fF

Ls = 1 / ((2*Pi*Fs)^2 * Cs)
Ls = 172.1942 mH

Fp = 1/(2*PI*sqrt(Ls*(Cs*Cz/(Cs+Cz))))
Fp = 3586708

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

Hier ist noch das Excel Sheet dazu.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

an dieser Stelle schon mal DANKE für die Formeln und die Excel-Datei!
Ich will demnächst noch eine vollständigen Vermessanleitung damit 
erstellen (auch für mich selber als "Online-Notiz" ;O)).

Wenn das Filter gelötet ist, melde ich mich hier ohnehin noch mal zwecks 
Vermess-Ideen.

Habe übrigens noch ein DDS-(?)-IC in der Bastelkiste (für eine Art 
Rechteck-Generator von 100kHz bis 50MHz o.ä., vor längerer Zeit mal an 
Lager gelegt). Es ist so ein kleines SMD-Ding mit 5 Beinen. Finde leider 
im Moment nicht die Typenbezeichnung...
Sollte eigentlich halbwegs brauchbar fürs Filter-Vermessen sein (es ist 
allerdings so winzig, dass es sich vermutlich nicht ohne weiteres löten 
läßt).

B e r n d W. schrieb:
> Das Audion muß auf eine Frequenz abgestimmt werden, die den richtigen
> Träger für die Demodulation des Seitenbandes ergibt, leider nicht auf
> die Mitte des Seitenbandes (hier LSB). Dadurch liegt das falsche
> Seitenband (USB) genausogut im Durchlassbereich, zwischen den
> Seitenbändern findet überhaupt keine Selektion statt. USB ist hier aber
> der nächste Kanal und eigentlich ein LSB-Signal in USB-Lage und
> unerwünscht!

Das habe ich noch nicht ganz verstanden. Mir ist aber auch der 
Zusammenhang zwischen Seitenband und Trägerfrequenz noch nicht ganz 
klar.
Allerdings ist mir aufgefallen, dass ganz in der Nähe von gut 
empfangbaren SSB-Sendern oft gleichstarke SSB-Sender zu finden sind, die 
sich beim besten Willen nicht ordentlich demodulieren lassen und bei 
denen die NF höher wird, wenn man die Frequenz in die entgegengesetzte 
Richtung dreht (relativ zum erstgenannten, gut einstellbaren 
SSB-Sender). Handelt es sich dabei um das "falsche" Seitenband oder sind 
es zufällige Sender, die aus anderen Gründen nicht hörbar empfangen 
werden können???

von B e r n d W. (smiley46)


Lesenswert?

> Handelt es sich dabei um das "falsche" Seitenband
Bei einem SSB Signal handelt es sich nur um ein Seitenband ohne Träger 
im Vergleich zu AM, welches den Träger mitliefert einschließlich den 
beiden Seitenbändern.

Bei SSB muß der Träger im Empfänger wieder zugesetzt werden. Und zwar 
bei USB unterhalb des Signals und bei LSB oberhalb des Signals. Nur dann 
mischt es sich zu einem verständlichen NF-Signal.

Wird der Träger auf der falschen Seite injiziert, ist das Ergebnis 
unverständlich. Töne, welche vorher tiefe Frequenzen waren sind dann die 
Höhen und umgekehrt. Du weißt ja sebst, wie es sich anhört.

Wird jetzt bei einem Empfänger mit ungenügender Trennschärfe der Träger 
auf der richtigen Seite hinzugefügt, befindet er sich (der Träger/BFO) 
für den nächsten Kanal, welcher mangels Trennschärfe leider noch 
durchkommt, auf der falschen Seite. Dann empfängst Du zwei Signale 
gleichzeitig: Ein Verständliches und ein Unverständliches.

von KLS (Gast)


Lesenswert?

So ist das also...

Das bedeutet unter dem Strich, dass beim Audion beim SSB-Empfang immer 
zwei "Kanäle"/Seitenbänder gleichzeitig empfangen werden müssen.

Außerdem kann das "richtige" Seitenband von der falschen Seite her 
empfangen werden.

Gäbe es beim überdrehten Audion die Möglichkeit, ein Seitenband gezielt 
zu eliminieren?


So ein Ladderfilter mit 2 bis 3kHz Bandbreite läßt nur ein einziges 
Seitenband durch, das ist mal klar!

Könnte man mit einem Konverter + ZF-Ladderfilter auch ein "inverses" 
SSB-Signal einfangen? Ich vermute Ja!

von B e r n d W. (smiley46)


Lesenswert?

> Gäbe es beim überdrehten Audion die Möglichkeit,
> ein Seitenband gezielt zu eliminieren?
Nein. Aber man könnte die Resonanz auf die Mitte des gewünschten Signals 
stellen und einen externen Träger (BFO) zuführen. Das würde das 
ungewünschte Signal zumindest abschwächen (Kurve 1).

> Könnte man mit einem Konverter + ZF-Ladderfilter
> auch ein "inverses" SSB-Signal einfangen? Ich vermute Ja!
Man kann es "einfangen", aber auch einen inversen Nachbarkanal fast 
komplett eliminieren.

Du erinnerst Dich an die Asymetrie des Filters. Auf der steilen Seite 
geht es besser als auf der flachen. Deshalb hab ich das Signal bei 
meinem 40m Empfänger so runtergemischt, daß der BFO auf der steilen 
Seite sitzt. Da auf 40m das untere Seitenband üblich ist, schwingt aus 
diesem Grund bei mir der VFO unterhalb der Empfangsfrequenz.

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

Wie Bernd schon schrieb, man kann alles einzeln empfangen je nach dem 
wie es passt ich habe heute abend mal wieder das Seewetter mit gelesen 
auf 10.080MHz RTTY die Software kann auch das invertierte Signal 
auswerten, anders als unser Ohr welches ein invertiertes 
Frquenzspechtrum zwar hören und erkennen kann, aber wo sich die 
Sprachverständlichkeit im Gehirn einfach nicht einstellen will. Ein 
negatives Bild hingegen können wir auch in Farbe noch zu teilen 
auswerten.

Zurück zur inversen signalaufnahe. Das invertierte enthält die 
vollständige Information und kann ev. besser aufgenoen werden als von 
der Richtigen seite her wo es ev. Störer hat. in eine solchen fall lohnt 
der aufwand es nachträglich zu Invertieren egal ob per SW oder per 
Hilfträger (BFO)

Ich überlege gerate einen Aufwärtskonwerter für DCF 77,5KHZ um es in das 
Spektrum  meines DX-Empfängers auf über 150 KHz umzusetzen und dort 
durchs CW2 (schmal)Filterlaufen zu lassen bevor ich es mit einer 
Soundkarte einlese um es zu analysieren............


@ Bernd,

 sollte es nicht möglich sei einen schwachen BFO ca 200-400 Khz als 
selbsschwingende Mischstufe aufzubauen und eine  ca.15 meter 
Drathantenne anzuhängen bevor, ich bei f (BF0+77,55KHZ) das Signal 
suche? Wie schätzt du das ein? Der BFO darf natürlich nicht die pA aus 
der Antenne totschalgen. Also muss der mit Bfo fast wie ein Audion am 
besten gerade anschwingen Kreisverstärkung 1.0001 oder so ähnlich.

von B e r n d W. (smiley46)


Lesenswert?

Hallo Winfried

Es gibt eine selbstschwingende Mischerschaltung mit Dualgate-Mosfet. Die 
Oszillator-Rückkopplung funktioniert über Source zum G2 nach Hartley 
oder Colpitts-Prinzip. Das Empfangssignal liegt auf G1, welches beim 
Mischvorgang noch ein paar dB verstärkt wird. Leider kann ich die 
Schaltung gerade nicht finden.

Auf diese Art sollte so gut wie nichts abgestrahlt werden. Mit einer 
Oszillatorfrequenz von 78,125kHz mischst Du auf 625 Hz runter und 
gleichzeitig auf 155,625 kHz hoch. Je nach dem, ob ein Hoch- oder 
Tiefpass nachgeschaltet wird.

Auf diese Art würde Dir beides offenstehen. Empfang und 
Signalaufbereitung über Deinen Receiver oder als DC-Receiver direkt in 
den Mikrofoneingang des PC.

Nachtrag, so ähnlich:
http://www.omnirep.se/drm/circuit.html

von Uwe (de0508)


Lesenswert?

KLS schrieb:
>
> Habe übrigens noch ein DDS-(?)-IC in der Bastelkiste (für eine Art
> Rechteck-Generator von 100kHz bis 50MHz o.ä., vor längerer Zeit mal an
> Lager gelegt). Es ist so ein kleines SMD-Ding mit 5 Beinen. Finde leider
> im Moment nicht die Typenbezeichnung...
> Sollte eigentlich halbwegs brauchbar fürs Filter-Vermessen sein (es ist
> allerdings so winzig, dass es sich vermutlich nicht ohne weiteres löten
> läßt).

LTC1799 - 1kHz to 33MHz Resistor Set SOT-23 Oscillator

Im QRPForum haben wir vieles/alles zu deiner Fragestellung geschrieben.
Horst, dj6ev hat einigen für Ladderfiterberechung und Messung 
entwickelt.
Vielleicht hast Du einen FA-NWT, dann wird alles viel einfacher und es 
gibt eine genau 'Beschreibung'.

Links
- http://www.qrpforum.de/index.php?page=Thread&threadID=5667
- http://www.qrpforum.de/index.php?page=Thread&threadID=4544
- http://www.qrpforum.de/index.php?page=Thread&threadID=4694
- http://www.qrpforum.de/index.php?page=Thread&postID=51963#post51963
- http://fa-nwt.akadns.de/blogs/blog4.php

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Gäbe es beim überdrehten Audion die Möglichkeit,
>> ein Seitenband gezielt zu eliminieren?
> Nein. Aber man könnte die Resonanz auf die Mitte des gewünschten Signals
> stellen und einen externen Träger (BFO) zuführen. Das würde das
> ungewünschte Signal zumindest abschwächen (Kurve 1).

Aber nur beim Festfrequenzaudion zum ZF-Empfang!?! Ansonsten müsste der 
BFO ja einen Frequenzbereich parallel zum Audion überstreichen!


> Du erinnerst Dich an die Asymetrie des Filters. Auf der steilen Seite
> geht es besser als auf der flachen. Deshalb hab ich das Signal bei
> meinem 40m Empfänger so runtergemischt, daß der BFO auf der steilen
> Seite sitzt. Da auf 40m das untere Seitenband üblich ist, schwingt aus
> diesem Grund bei mir der VFO unterhalb der Empfangsfrequenz.

Das ist clever!


Winfried J. schrieb:
> Zurück zur inversen signalaufnahe. Das invertierte enthält die
> vollständige Information und kann ev. besser aufgenoen werden als von
> der Richtigen seite her wo es ev. Störer hat. in eine solchen fall lohnt
> der aufwand es nachträglich zu Invertieren egal ob per SW oder per
> Hilfträger (BFO)

Interessant, was man so alles machen kann.


B e r n d W. schrieb:
> Nachtrag, so ähnlich:
> http://www.omnirep.se/drm/circuit.html

Kann man auf diese Weise einen NE602 ersetzen oder handelt man sich 
damit irgendwelchen anderen Ärger ein?


Uwe S. schrieb:
> LTC1799

Ich bin zu 99% sicher, dass es dieser IC ist! Wie bist du darauf 
gekommen? Wegen der Bauform?
Leider habe ich hier im Forum (?) in einem Beitrag gelesen, dass der 
Baustein nicht sehr frequenzstabil sein soll. Kann man seine 
"natürliche" Drift irgendwie einengen (z.B. durch 
Temperaturstabilisierung)?

Coole Links!

Nein, einen FA-NWT habe ich leider (noch) nicht.



Hier noch Fragen zum Laddefilter:

Die Kondensatoren für so ein Filter sollten (bis auf die "Großen in der 
Mitte") NP0s sein, nehme ich an.

Bei einigen Versuchen mit LTspice kam heraus, dass schon Abweichungen 
von zum Teil nur 2% des Soll-C-Werts erhebliche (negative) Auswirkungen 
auf die Filterkurve haben können.

Habe hier einen Beutel mit 15p-NP0s, die sehr eng toleriert sind, damit 
würde ich gerne das Filter aufbauen.

Habe durch Probieren folgende Werte gefunden:
12.5p, 15p, ca. 80p

Die 12.5p müsste man irgendwie zusammensetzen oder per Trimmer 
einstellen.

Bei den genannten Werten ist die Filterkurve etwas breiter als bei den 
anderen Werten (und auch etwas welliger).

Kann man die Kurve noch optimieren (unter Beibehaltung der 15p-Cs)?

Gibt es theoretische Verhältniszahlen für Größe der Cs in einem 
(Sechser-) Ladderfilter?

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

Dualgate ist Klasse muss unbedingt mal einige besorgen. ;-) Das Prinzip 
war bekannt, auch DRM sogar die 625Hz in Bezug auf DCF. War letzteres 
nicht mal im Funkbummi?
Danke trotzdem fürs anwerfen meiner Hirnzellen.

So jetzt beende ich mal das OT-Gelabere

Sorry, KLS (?)

von B e r n d W. (smiley46)


Lesenswert?

@ KLS

> Ansonsten müsste der BFO ja einen Frequenzbereich
> parallel zum Audion überstreichen!

Ja, das hat man schon so gemacht. Mit der Hand eingestellt.


> Abweichungen von zum Teil nur 2% des Soll-C
> Die 12.5p müsste man irgendwie zusammensetzen

Zusammensetzen oder abgleichbar macht erst mal keinen Sinn, wenn die 
Kurvenform nicht sauber vermessen kannst. Es kommen ja noch die 
Schaltkapazitäten hinzu, da ist auch schnell mal 1 pF zusammen. Es gibt 
auch die sogenannten Cohn-Filter. Diese verwenden lauter gleiche 
Kapazitäten, sind etwas welliger im Durchlassbereich, aber die 
Einfügedämpfung ist geringer. Zieh erst mal ein Filter komplett durch, 
dann siehst Du, wo noch Stolpersteine liegen.


>> so ähnlich:
>> http://www.omnirep.se/drm/circuit.html
> Kann man auf diese Weise einen NE602 ersetzen

Nein, beide haben komplett andere Eigenschaften. Beim DG-Mosfet kommt 
das Eingangssignal und das Oszillatorsignal auch hinten raus. Was 
unerwünscht ist, muss erst weggefiltert werden.

Auf der anderen Seite sind die Eingänge sehr hochohmig im mehrstelligen 
Meg-Bereich und dadurch wird ein vorgeschalteter Schwingkreis nicht 
belastet.


> dass der LTC1799 nicht sehr frequenzstabil sein soll.

Er ist für Messsenderaufgaben durchaus stabil genug. Nur beim 
Quarzfilter bin ich mir nicht sicher. Auf jeden Fall sollte ein 
Frequenzzähler die genaue Frequenz anzeigen können.

von B e r n d W. (smiley46)


Lesenswert?

@ Winfried

Fürs Runtermischen sind die 78125 - 77500 = 625 optimal.

Falls Du doch hochmischen möchtest kommen mir Bedenken, weil die erste 
Harmonische des Oszillators und der Empfangsfrequenz liegen gleich neben 
dem Ausgangssignal. Dann würde ich den Oszillator fürs Hochmischen 
lieber ein paar kHz höher stellen.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Ansonsten müsste der BFO ja einen Frequenzbereich
>> parallel zum Audion überstreichen!
>
> Ja, das hat man schon so gemacht. Mit der Hand eingestellt.

Warum eigentlich nicht ;-)


>> Abweichungen von zum Teil nur 2% des Soll-C
>> Die 12.5p müsste man irgendwie zusammensetzen
>
> Zusammensetzen oder abgleichbar macht erst mal keinen Sinn, wenn die
> Kurvenform nicht sauber vermessen kannst. Es kommen ja noch die
> Schaltkapazitäten hinzu, da ist auch schnell mal 1 pF zusammen. Es gibt
> auch die sogenannten Cohn-Filter. Diese verwenden lauter gleiche
> Kapazitäten, sind etwas welliger im Durchlassbereich, aber die
> Einfügedämpfung ist geringer. Zieh erst mal ein Filter komplett durch,
> dann siehst Du, wo noch Stolpersteine liegen.

Im Durchziehen sehe ich nicht unbedingt das Problem, Lötkolben an, Teile 
auf kupferkaschierte Platine gelötet, fertig.

Schön wäre, wenn vorher schon die größten Stopbersteine kartographiert 
werden könnten, um die Aussichten auf ein brauchbares Filter zu erhöhen.

Danke für den Hinweis, hier haben wir schon etwas zum Thema Cohn:
http://w7zoi.net/xtal_methods/xtal_methods.html

Stimmt, die parasitären Cs gibt es ja auch noch...


Wie kann man denn ein Ladderfilter für bestimmte C-Werte optimieren? Es 
gibt doch bestimmt so eine Art "Schablone" für die Bauteilwerte (z.B. C2 
= 1,2 * C1, C3 = 8 * C1 oder so).


> Beim DG-Mosfet kommt
> das Eingangssignal und das Oszillatorsignal auch hinten raus.

beim NE602 nicht?


>> dass der LTC1799 nicht sehr frequenzstabil sein soll.
>
> Er ist für Messsenderaufgaben durchaus stabil genug. Nur beim
> Quarzfilter bin ich mir nicht sicher. Auf jeden Fall sollte ein
> Frequenzzähler die genaue Frequenz anzeigen können.

Muahhhh, das wäre dann wieder der Weltempfänger + BFO-Schwebung ;-)

Werde das IC mal auf den Rücken legen (Dead bug) und probeweise 
verlöten. Der andere f-Zähler mißt ja auch auf 1kHz genau, das könnte 
vielleicht für ein breites Ladderfilter grade noch für eine 
Pi-mal-Daumen-Messung gehen...

von Jochen F. (jamesy)


Lesenswert?

Hallo, ich lese hier schon eine Weile mit, meine ersten Ladderfilter 
habe ich vor ca. 30 Jahren gebaut. Mit 17 hat man zwar Wünsche, aber 
keine Meßgeräte, wie ich sie heute hier stehen habe.
Was habe ich gemacht? Ein Einkanal-Scope genommen, einen einfachen 
HF-Generator eingestellt auf eine Frequenz ein wenig "daneben" und mit 
einem gewobbelten NF-Generator das HF-Signal gemischt. Wenn man die 
Wobbelung gut kontrollieren kann (z. B. HP 3325), dann kann man mit 
einem Scope im XY-Mode sehr präzise ablesen, was das Filter macht, wenn 
man an Cs etwas verändert oder andere Quarze einsetzt.
Heute habe ich einen HP 3585A hier stehen, unter anderem.
Zur Breite: Ich habe die Topologie eines FM-Filters hier, auch schon mal 
ausprobiert, allerdings fast alles mit den 4.4433618-MHz-Quarzen 
(Farbhilfsträger), die hatte ich damals haufenweise.
Gruß, Jochen

von B e r n d W. (smiley46)


Lesenswert?

>> Beim DG-Mosfet kommt
>> das Eingangssignal und das Oszillatorsignal auch hinten raus.
> beim NE602 nicht?
Bei doppelt balancierten Mischern wie Gilbertzellen NE602, SO42... und 
Dioden-Ringmischern werden die Eingangssignale mehr oder weniger stark 
unterdrückt. In der Regel ist die Dämpfung größer 40 dB.

Idealerweise würde nur die Summen- und Differenzfrequenz rauskommen. Dem 
ist aber nicht so. Da eine Antenne nie nur ein Signal auf den Empfänger 
gibt, sondern eine Frequenzgemisch, entstehen bei starken Signalen durch 
Kreuzmodulation unerwünschte Mischprodukte. Dabei schneidet der NE602 
nicht besonders gut ab. Trotzdem kann man damit Receiver bauen, die 
funktionieren.

> ein Ladderfilter für bestimmte C-Werte optimieren?
> Es gibt doch bestimmt ein Schema
> z.B. C2= 1,2 * C1, C3 = 8 * C1 oder so

Da verweise ich nochmal auf ihn.
http://www.giangrandi.ch/electronics/crystalfilters/xtalladder.html
Die Kapazitäten hängen vom Quarz, von der Bandbreite und der Welligkeit 
(Tschebyscheff) ab. Es kommen einfach immer krumme Werte raus. Ich nehm 
dann einfach die nächsten Cs aus der E12 - Reihe, die beiden außeren 
gegen GND eine Stufe kleiner. Es hat bisher trotzdem funktioniert. Es 
kommt einfach darauf an, ob ich damit zufrieden bin und ob die 
Verbesserung hörbar wäre.

von flo (Gast)


Lesenswert?

Jochen Fe. schrieb:
> Mit 17 hat man zwar Wünsche, aber
> keine Meßgeräte

ich leg mich nieder ;O)))))))))))

von B e r n d W. (smiley46)


Lesenswert?

> Ich habe die Topologie eines FM-Filters hier,
> allerdings fast alles mit den 4.4433618-MHz-Quarzen
Das ist dann wahrscheinlich in Brückenschaltung?

von Jochen F. (jamesy)


Lesenswert?

Nein, das ist eine recht komplexe Sache mit mehreren Spulen ziemlich 
unkonventionell arrangiert. Falls Interesse, zeichne ich das mal modern 
und poste es.
Seit 30 Jahren habe ich ein Buch, in dem ich alle selbst ausprobierten 
und funktionierenden Schaltungen mit Erfahrungen eintrage, da steht es 
alles drin.

von B e r n d W. (smiley46)


Lesenswert?

Hallo Jochen

KLS könnte sich ja einen kleinen Generator bauen mit einer LED! drin.
Die Frequenz braucht sich ja nur um +- 10kHz verstellen lassen.

Mit Wobblern hat man aber bei mehrstufigen Quarzfiltern seine Probleme. 
Die Frequenz fährt in der Regel zu schnell durch, um dem Quarzfilter 
genügend Zeit zum Einschwingen zu geben. Langsamer sieht man auf dem 
Oszi nichts mehr. Es ginge ganz langsam, dann aber mit einem 
Speicheroszi.

Nochwas, wenn man die Quarze anfasst, laufen sie schon weg. Deshalb mit 
der Spitzzange ein/ausstecken oder nach der Wäscheklammermethode.

> Mit 17 hat man zwar Wünsche
Mit 20 hab ich meinen ersten Doppelsuper für 10m gebaut. Es war eine 
Röhren/Halbleitermischung. Sogar ein Q-Multiplier war drin. Der zweite 
Oszillator war mit einen Quarz 4.433618 MHz aufgebaut. Wie lange das her 
ist, sag ich jetzt lieber nicht (es gab schon Transistoren).

von gerri (Gast)


Lesenswert?

Jochen Fe. schrieb:
> Falls Interesse, zeichne ich das mal modern
> und poste es.

Das würde mich interessieren!

von Jochen F. (jamesy)


Lesenswert?

Jochen Fe. schrieb:
> Mit 17 hat man zwar Wünsche, aber
> keine Meßgeräte, wie ich sie heute hier stehen habe.

Heute habe ich mehr als 30 Scopes hier (Hobby!), knapp ein Dutzend 
Spektrumanalysatoren, mehrere TDRs, Generatoren bis 18,6 GHz, 
Oszilloskope bis 14 GHz. Ich liebe es, historische Meßtechnik zu 
restaurieren.
Als Schüler hatte ich mit 13 ein HM 307-3, einen selbstgebauten 
Funktionsgenerator, einen Impulsgenerator, ein selbstgebautes Netzgerät 
(Bausatz) und den unvermeidlichen Ersa 30. Gepaart mit viel Freude an 
Elektronik.....

von Jochen F. (jamesy)


Lesenswert?

B e r n d W. schrieb:
> Mit Wobblern hat man aber bei mehrstufigen Quarzfiltern seine Probleme.
> Die Frequenz fährt in der Regel zu schnell durch, um dem Quarzfilter
> genügend Zeit zum Einschwingen zu geben. Langsamer sieht man auf dem
> Oszi nichts mehr. Es ginge ganz langsam, dann aber mit einem
> Speicheroszi.

Schon mal probiert? Wenn ein Filter 3 kHz breit ist, darf man schon mal 
mit 200 Hz wobbeln, das gibt ein absolut gutes Bild, wenn man den 
Rücklauf nicht hat. Auch ein "nur" 200 Hz breites Filetr läßt sich gut 
wobbeln. Die sbezieht sich auf die "Primitiv-Methode", nicht auf die 
Messung mit einem Skalaren Netzwerk-Analysator.

von Appaloosa (Gast)


Lesenswert?

OT: @Bernd W.:
>Bei doppelt balancierten Mischern wie Gilbertzellen NE602, SO42... und
>Dioden-Ringmischern werden die Eingangssignale mehr oder weniger stark
>unterdrückt. In der Regel ist die Dämpfung größer 40 dB.
Mit der Einschränkung, dass bei Diodenringmischern zumindest der 
LO-Pegel viel größer ist (1,41Vss bis ca. 8Vss). Damit ist eine 
"isolation" von 40 dB wiederum wenig. ;)
Einen DG-MOSFET kann man, wenn eine optimale Mischerverstärkung 
gewünscht ist mit bis zu 5Vss betreiben. Allerdings hat der DG-MOSFET 
eine (LO-)Dämpfung von nur 20 dB - wurde zumindest geschrieben.

von KLS (Gast)


Angehängte Dateien:

Lesenswert?

B e r n d W. schrieb:
> Da verweise ich nochmal auf ihn.
> http://www.giangrandi.ch/electronics/crystalfilter...
> Die Kapazitäten hängen vom Quarz, von der Bandbreite und der Welligkeit
> (Tschebyscheff) ab. Es kommen einfach immer krumme Werte raus.

Habe die Werte dort eingegeben und die exakten (Ergebnis-) Werte in 
LTspice übernommen, die Kurve sieht irgendwie verunglückt aus...

von Jochen F. (jamesy)


Lesenswert?

Auch hier kann man einschränkend sagen, daß ein Abgleich möglich ist, da 
die Ausgangsfrequenz nur ein schmales Band ist.
Bei einem Mischer, der einganggseitig und ausgangsseitig breitbandig 
betrieben wird, stimmt der Einwand. Ist die Ausgangsfrequenz nur ein 
schmales Band, so kann man 20 bis 30 dB mehr an Trägerunterdrückung 
herausholen, je nach Modell.

von B e r n d W. (smiley46)


Lesenswert?

@ KLS
LT-Spice nimmt bei Dezimalzahlen keine Kommas, nur Punkte.

> Schon mal probiert? Wenn ein Filter 3 kHz breit ist,
> darf man schon mal mit 200 Hz wobbeln
Ich wobble manchmal mit einem alten Nordmende UW958. Der kann nur 50Hz. 
Es geht gerade so, wenn man nur genau über die Filterbreite wobbelt. So 
ein kleiner Hub ist schon etwas schwierig einzustellen.

Was gut zu wobbeln geht, sind Half-Lattice-Filter. Anscheinend schwingen 
die schneller ein als die Ladder, wo der mittlere Quarz keinen direkten 
Zugang zu irgendeiner Dämpfung hat.

von Jochen F. (jamesy)


Lesenswert?

Aus diesem Grund die Methode mit HF-Generator und Funktionsgenerator. 
Das ist sehr feinfühlig einstellbar.

von B e r n d W. (smiley46)


Lesenswert?

> dass bei Diodenringmischern zumindest der
> LO-Pegel viel größer ist (1,41Vss bis ca. 8Vss)
Mit welcher Amplitude schwingt wohl ein freischwingender DG-Mosfet?
IMHO werden Eingangssignal und Oszillatorsignal zum Ausgang noch 
verstärkt. Lediglich das nachgeschaltete Filter verhindert eine größere 
Amplitude.

von Jochen F. (jamesy)


Lesenswert?

Der freischwingende DG-Mosfet ist doch eher die Ausnahme. Der "normale" 
DG-Mosfet kann eine ganze Menge Spannung ab am Eingang, und er schaltet 
auch einigermaßen schnell (MHz, keine GHz)

von B e r n d W. (smiley46)


Lesenswert?

> MHz, keine GHz
Was halt die Bastelkiste hergibt, der BF992 hat anscheinend eine 
Transitfrequenz von 600 MHz. Oberhalb von 1GHz hören meine Möglichkeiten 
sowiso auf.

> er schaltet auch einigermaßen schnell
Du spielst jetzt eher auf eine Verwendung als Schaltmischer an.

von Jochen F. (jamesy)


Lesenswert?

Ja, die Verwendung als Schaltmischer ist die Voraussetzung für den 
aktiven selbstschwingenden Mischer.
Ich nehme ihn gerne als Eingangsstufe für einen hochohmigen 
Scope-Tastkopf bis 1 GHz.

von Appaloosa (Gast)


Lesenswert?

Gute Frage mit der Amplitude beim DG-MOSFET.
Also bei den Colpitts-Oszillatoren, die ich verwende sind es ca. 2Vss 
(nat. mit Begrenzung).
Es gibt aber auch Oszillatoren, die schaffen 15Vss am Schwingkreis 
(Quelle: Experimental Methods in RF Design)

von B e r n d W. (smiley46)


Lesenswert?

> Allerdings hat der DG-MOSFET eine (LO-)Dämpfung von nur 20 dB
Das hatte ich unterschlagen, der DG-Mosfet hat eine sehr gute Dämpfung 
zum G1, es wird also praktisch nichts abgestrahlt. In der aktuell 
simulierten Schaltung beträgt die Rückwärtsdämpfung annähernd 80 dB.

von Jochen F. (jamesy)


Lesenswert?

Ein einfacher HF-Verstärker wird heute mit einem MMIC wesentlich 
einfacher aufgebaut.
Aber der DG-Mosfet ist auch heute noch ein interessantes Bauteil, nicht 
nur in Silizium, sondern auch in GaAs, ab und zu gibt es die heute noch.

von B e r n d W. (smiley46)


Lesenswert?

> für einen hochohmigen Scope-Tastkopf bis 1 GHz.
Hochohmig heißt dann < 1pF! Zu diesem Thema gab es doch letztes Jahr 
hier einen Thread. Aber irgendwann wird leider jeder Tastkopf 
niederohmig.

von Jochen F. (jamesy)


Lesenswert?

Ja. Kleiner 1 pF ist gut machbar, duch Bootstrapping noch weniger. Nimmt 
man einen festen Teilungsfaktor in Kauf, so sind 0.2 pF gut machbar.

von B e r n d W. (smiley46)


Lesenswert?

Dabei seh ich gerade, der BFR93 hat recht hohe Kapazitäten vor allem die 
C-be mit 1,7pF und C-ce mit 0.6pF. Damit hab ich vor Kurzem eine 
E-Feld-Sonde für Precompliance-Messungen gebaut. Bis 1GHz kein Problem, 
aber 500 MHz hätten auch gereicht, da "oben" nichts mehr abstrahlt.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> @ KLS
> LT-Spice nimmt bei Dezimalzahlen keine Kommas, nur Punkte.

Ahhh... Danke!

So, jetzt sieht es gut aus.

Habe bemerkt, dass die Welligkeit auch mit den Abschluss-Rs 
zusammenhängt.

Habe pauschal für jeden C 0.5pF Schaltkapazität aufgerechnet. Dann 
könnte es morgen langsam mal ans Löten gehen.


Bescheidene Frage:

warum kann man mit Wobblern Filter vermessen?



Noch eine bescheidene Frage:

warum eignen sich die gelb-weißen Ringkerne aus Schaltnetzteilen nicht 
für HF-Zwecke (wohl, weil das Material stark dämpft, aber warum)?
Zumindest bei mir geben die Dinger jedenfalls keine brauchbaren 
Ergebnisse...

von B e r n d W. (smiley46)


Lesenswert?

Gelb-Weiss = Material #26, Netzfilter, EMI-Filter

Der soll keine hohe Güte haben, sondern Störungen wegdämpfen. Hohe 
Eisenverluste, für Frequenzen bis 1MHz.

von B e r n d W. (smiley46)


Lesenswert?

> warum kann man mit Wobblern Filter vermessen?
http://de.wikipedia.org/wiki/Wobbelgenerator

Wobbelgeneratoren enthalten meist einen Sägezahnoszillator. Dieser 
steuert die Frequenz, gleichzeitig aber auch den X-Kanal des 
Oszilloskops.

Gibt man das Generatorsignal auf ein Filter und das Ausgangssignal des 
Filters auf den Y-Kanal eines Oszilloskops, wird die 
Filter-Durchlasskurve als HF-Band sichtbar.
http://www.youtube.com/watch?v=-A_DxsxPdeI

Wird dieses Signal mit einem HF-Gleichrichter aufbereitet, sieht es aus 
wie hier (etwas weiter unten):
http://saba.magnetofon.de/showtopic.php?threadid=4513

von B e r n d W. (smiley46)


Lesenswert?

So siehts aus, wenn die Einschwingzeit nicht reicht:
http://www.vk2zay.net/article/93

Mit Bauanleitung für einen "RF Sweep Generator".

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Angehängte Dateien:

Lesenswert?

Ich habe kürzlich von einer Platine Telefon/modem oder so einen kleinen 
verkappten Ringkernübertrager abgelötet. Der sieht recht interessant 
aus.  Den werde ich mal ausmessen, wenn ich Zeit habe, oder ein 
Datenblatt suchen. Netzwerk und Busplatienen könnten auch so etwas 
hergeben.

Namaste

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?


von KLS (Gast)


Lesenswert?

Winfried J. schrieb:
> Ich habe kürzlich von einer Platine Telefon/modem oder so einen kleinen
> verkappten Ringkernübertrager abgelötet.

Lustiges Ding!

> Den werde ich mal ausmessen, wenn ich Zeit habe

Kann man Ringkerne mit vertretbarem Aufwand ausmessen? Welche Parameter 
wolltest du denn extrahieren?



B e r n d W. schrieb:
> Gelb-Weiss = Material #26, Netzfilter, EMI-Filter
>
> Der soll keine hohe Güte haben, sondern Störungen wegdämpfen. Hohe
> Eisenverluste, für Frequenzen bis 1MHz.

Was heißt "für Frequenzen bis 1MHz"? Bezogen auf Störbeseitigung oder 
Übertragung?



Werde gleich mal den LTC1799 auf eine Platine "tuckern" und einen 
kleinen Testlauf fahren.

Das Ladderfilter habe ich noch mal neu berechnet (mit der Vorgabe: 
Restwelligkeit = 1,5dB).
Erstaunlicherweise können die Cs dann insgesamt sehr viel höhere 
Toleranzen aufweisen, ohne dass sich die Filterkurve sonderlich verformt 
(war jedenfalls mein erster Eindruck).

von KLS (Gast)


Lesenswert?

habe eben das hier entdeckt:

http://fa-nwt.akadns.de/blogs/media/blogs/dj6ev/quarzfilter/Quarzfilter_Rev2.pdf

Dort sind auf S.30 zwei Abbildungen 28a und b.

Mein Ladderfilter ähnelt Bild 28b. Folgt daraus, dass es nur als 
LSB-Filter taugt?

Ich vermute, dass "ja"! (s.u., Bernds Beitrag Beitrag #2683939)




Noch eine Idee:

jeweils ein Serienresonanz- und ein Parallelresonanz-Quarzfilter 
aufbauen und beide über einen Impedanzwandler in Serie schalten. So 
müsste ein steiles Filter mit symmetrischen Flanken entstehen!

(Problem ist dabei wahrscheinlich, Filter gleicher Mittenfrequenz 
aufzubauen)




B e r n d W. schrieb:
> Du erinnerst Dich an die Asymetrie des Filters. Auf der steilen Seite
> geht es besser als auf der flachen. Deshalb hab ich das Signal bei
> meinem 40m Empfänger so runtergemischt, daß der BFO auf der steilen
> Seite sitzt. Da auf 40m das untere Seitenband üblich ist, schwingt aus
> diesem Grund bei mir der VFO unterhalb der Empfangsfrequenz.

Ladderfilter mit Serienresonanz --> LSB-Filter (weil: BFO sitzt auf der 
steilen Flanke "rechts" vom LSB-Signal, s.o.)

von B e r n d W. (smiley46)


Lesenswert?

> Mein Ladderfilter ähnelt Bild 28b.
Filter nach Abbildung 28a sind sehr hochohmig und unüblich, weil schwer 
angepasst werden können.

> dass es nur als LSB-Filter taugt?
Die Trennschärfe ist auch auf der "schlechten Seite" viel besser als das 
Audion. Es funktioniert auch andersrum, und das nicht schlecht.

Hier hatte ich die Filterwirkung schon mal verglichen:
Beitrag "Re: Eigene Audion-Schaltung so ok?"

> Ladderfilter mit Serienresonanz --> LSB-Filter
> BFO sitzt auf der steilen Flanke "rechts" vom LSB-Signal
Falls amn das ausreizen will, muß man darauf achten, daß bei Frequenzen 
größer 10MHz der VFO über der Empfangsfrequnez schwingt

Umgekehrt bei Frequenzen kleiner 10MHz liegt der VFO unterhalb. Dies 
kann eventuell Probleme bereiten, da Harmonische in den Empfangsbereich 
und in die ZF fallen können. Es sollte vorher überprüft werden, ob diese 
Gefähr besteht.

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

Wenn du die Filterfunktion spiegeln willst, so lege das Filter in die 
Gegenkopplung eines invertierenden Verstärkers und invertiere das Signal 
anschließend erneut(Phasenlage) .Idealerweise bringst du die Verstärkung 
des Durchlassbereiches auf 1 so kannst du das Filter und die Versärker 
separat umschalten und Phaseenlage so wie Filterkurve anpassen je nach 
dem auf welcher Sseite du die Steile flanke brauchst die weniger steile 
eignet sich immer noch als FM-Flanken oder Phasendemodulator wenn auch 
nicht perfekt, so kann Mann, Bub doch noch einiges lernen. ;-)

Namaste

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> dass es nur als LSB-Filter taugt?
> Die Trennschärfe ist auch auf der "schlechten Seite" viel besser als das
> Audion. Es funktioniert auch andersrum, und das nicht schlecht.

Ok, hatte ich mir auch schon irgendwie gedacht, die dB-Zahlen sind ja 
schon "ziemlich weit" auseinander, auf beiden Filterseiten...

>> dass es nur als LSB-Filter taugt?
> Die Trennschärfe ist auch auf der "schlechten Seite" viel besser als das
> Audion. Es funktioniert auch andersrum, und das nicht schlecht.
>
> Hier hatte ich die Filterwirkung schon mal verglichen:
> Beitrag "Re: Eigene Audion-Schaltung so ok?"

Super, danke für die Graphik!!! Das wollte ich schon die ganze Zeit im 
direkten Vergleich sehen.


>> Ladderfilter mit Serienresonanz --> LSB-Filter
>> BFO sitzt auf der steilen Flanke "rechts" vom LSB-Signal
> Falls amn das ausreizen will, muß man darauf achten, daß bei Frequenzen
> größer 10MHz der VFO über der Empfangsfrequnez schwingt
>
> Umgekehrt bei Frequenzen kleiner 10MHz liegt der VFO unterhalb. Dies
> kann eventuell Probleme bereiten, da Harmonische in den Empfangsbereich
> und in die ZF fallen können. Es sollte vorher überprüft werden, ob diese
> Gefähr besteht.

Meinst du jetzt VFO oder BFO?


Winfried J. schrieb:
> Wenn du die Filterfunktion spiegeln willst, so lege das Filter in die
> Gegenkopplung eines invertierenden Verstärkers und invertiere das Signal
> anschließend erneut(Phasenlage) .Idealerweise bringst du die Verstärkung
> des Durchlassbereiches auf 1 so kannst du das Filter und die Versärker
> separat umschalten und Phaseenlage so wie Filterkurve anpassen je nach
> dem auf welcher Sseite du die Steile flanke brauchst die weniger steile
> eignet sich immer noch als FM-Flanken oder Phasendemodulator wenn auch
> nicht perfekt, so kann Mann, Bub doch noch einiges lernen. ;-)

Das ist ja raffiniert! Darüber hatte ich neulich schon nachgedacht, war 
aber noch auf keine Lösung für die "Filterspiegelung" gekommen. Dabei 
muss "nur" das Signal gespiegelt werden...

;-)




Hier noch ein Zitat aus "ladderfilter.pdf":

"Breite Filter bei niedrigen Frequenzen sind eigentlich - trotz oft 
anders formulierter Aussagen - kein Problem in der Transistorwelt."

[nämlich durch Halbierung aller Cs   und  Verdoppelung der 
Abschluss- Rs , (Anm. meinerseits)]

Habe es bei LTspice probiert, scheint zu klappen! :-)


Das LTC1799-Ding steht jetzt provisorisch für Messzwecke im Bereich 2 
bis 4 MHz zur Verfügung.
Jetzt fehlt noch etwas, um die HF am anderen Ende des Filters mit dem 
Multimeter als Gleichstrom messen zu können...

Erste Überprüfungen mit dem f-Zähler ergaben, dass die f im Bereich von 
ca. 1kHz hin- und herschwankt (innerhalb von ein paar Sekunden).

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Meinst du jetzt VFO oder BFO?
Ich meinte den VFO. Im Prinzip machen ja beide das Gleiche, nur daß man 
das Ergebnis des BFOs hören kann.

Wohin sich beim Mischen die Frequenzen verschieben, kann man sich selber 
herleiten, indem das Mischen mit der unteren und oberen Grenze des 
Signals getrennt durchgerechnet wird.

Im Bild entstehen neue Mischfrequenzen VFO-f1, VFO-f2, VFO+f1 und 
VFO+f2. Hier sind erst mal nur die beiden ersten interessant. VFO-f1 
bildet den längeren Pfeil und fällt daher später auf die hohere 
Frequenz/ in der ZF. Umgekehrt VFO-f2 auf die niedrige. Das Signal wird 
also gespiegelt.

Dann wird mit dem BFO gemischt, welcher ebenfalls oberhalb der ZF 
schwingt. Dabei spiegelt sich das Signal erneut und es entsteht die 
hörbare NF.

von KLS (Gast)


Lesenswert?

Danke für die Erklärung und das Diagramm!
Das muss ich noch mal in Ruhe sacken lassen.

Habe grade das Filter "fliegend" vermessen (mit 
Steckbrett-Ge-Dioden-Gleichrichter, grade fertig geworden)

Das IC ist (zumindest bei dem Aufbau) eine Katastrophe, die kHz-Zahlen 
sind rauf und runter... das folgende ist mehr eine Schätzung als eine 
Messung. Außerdem Verbindungen z.T. mit Krokoklemmen, vermute, das HF 
übergesuppt ist.

f0 lt. Berechnung = 3583.385 kHz

Bandbreite 2.2kHz


Hier die Ergebnisse:


HF in = 1,36V


kHz    mV out
3500 - 5

3562 - 12

3564 - 16

3566 - 20

3568 - 31

3570 - 50

3572 - 71

3574 - 83

3576 - 90

3578 - 103

3580 - 127

3582 - 134

3584 - 139

3586 - 110

3588 - 89

3590 - 71

3592 - 43

3594 - 19

3600 - 10

Das ganze kommt mir relativ breitbandig vor, habe leider nicht nach 
einem Peak gesucht, der ist möglicherweise zwischen den Zahlen 
untergegangen?!!

Habe auf dem I-Net-Rechner hier kein Excel, sonst hätte ich direkt ein 
Diagramm gepostet.

von Jochen F. (jamesy)


Lesenswert?

Die Zahlen legen ein Übersprechen der HF nahe. Die Breite bei -3 dB wäre 
mehr als 10 kHz, wenn ich die Daten gerade richtig gelesen habe. Die 
Breite ist auch "verdächtig", was die Dämpfung im Sperrbereich angeht.
Wie findet man das heraus, ob es überspricht? Man nimmt einfach einen 
ab´ndren Aufbau, legt eine dickere Masse, und vor allem den Widerstand 
vor dem Gleichrichter mal halboeren (wenn es vom Wellenwiderstand geht). 
Wenn nun die Meßwerte deutlich anders sind, ist es der Aufbau. Bleiben 
die Meßwerte, ist es wahrscheinlich, daß das Filter wirklich so ist wie 
gemessen.

von KLS (Gast)


Lesenswert?

Die Zahlen sehen echt nicht gut aus.

Denke mal, dass es mindestens z.T. am Aufbau liegt.

Werde morgen noch mal anders messen.


Habe das Filter vor den Eingang eines Weltempfängers geklemmt und 
eingangsseitig am Filter eine Langdrahtantenne angeschlossen.
Man empfängt im Bereich um die Quarzfrequenz auf einem schmalen Bereich 
ein scharfes Rauchen, darüber und darunter hört man im Grunde nichts 
mehr.
(das nur nebenbei)

Auf jeden Fall muss der f-Generator stabilere Werte liefern, sonst geht 
gar nichts...


Was mir überhaupt nicht gefällt:
den f-Generator empfängt man mit dem Weltempfänger im SSB-Modus (LSB) 
nicht als Pfeifen, sondern als eine Art undefiniertes Rauschen.

von Appaloosa (Gast)


Lesenswert?

Zum Vermesssen würde sich eine "ugly construction" für die Quarzladder 
eignen. Zum bsp der "Manhattan-Style" mit Lötinseln aus 
kupferkaschierten Cu-Material, die mit Sek-Kleber wiederum auf eine 
doppelseitige (oder einseitige) Cu-Platine geklebt werden. Bei 
doppelseitigem Material müssen beide Masseflächen mehrmals per 
Durchkontaktierung verbunden werden. Cu-Folie geht da auch, die man 
ringsrum am Rand der Platine verlötet.

von KLS (Gast)


Lesenswert?

KLS schrieb:
> Was mir überhaupt nicht gefällt:
> den f-Generator empfängt man mit dem Weltempfänger im SSB-Modus (LSB)
> nicht als Pfeifen, sondern als eine Art undefiniertes Rauschen.

So gesehen auch kein Wunder, dass der f-Zähler springt

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

Ich vermute mal, daß es eher an der Messtechnik liegt. Durch das Phasen- 
Frequenzrauschen des RC-Oszillators wird die Filterkurve viel breiter 
als sie eigentlich ist.

Bau Dir lieber einen Colpitts- oder Hartleyoszillator. Sowas kann man 
immer wieder verwenden. Deshalb nochmal der Link von oben. 
LC-Oszillatoren laufen viel + n*viel stabiler als RC! (Beliebige Zahl 
für n einsetzen)

http://www.vk2zay.net/article/93

> nicht als Pfeifen, sondern als eine Art undefiniertes Rauschen
Wie breit ist das Rauschen?

Dein Rückkoppelempfänger kann doch auch auf 3,5MHz schwingen und hat 
eine Feineinstellung und eine Pufferstufe. Probiers doch mal damit.

von Jochen F. (jamesy)


Lesenswert?

KLS schrieb:
> Was mir überhaupt nicht gefällt:
> den f-Generator empfängt man mit dem Weltempfänger im SSB-Modus (LSB)
> nicht als Pfeifen, sondern als eine Art undefiniertes Rauschen.

Das riecht verdächtig nach einem unsauber schwingenden Oszillator.....
In dem Fall wird die Filterkurve zu flach und zu breit. Das könnte es 
sein.

von KLS (Gast)


Lesenswert?

Hallo Appaloosa!

Appaloosa schrieb:
> Zum Vermesssen würde sich eine "ugly construction" für die Quarzladder
> eignen.

Wie meinst du das in Bezug aufs Vermessen. Die Ladder selber befindet 
sich schon auf einer Kupferseite.


B e r n d W. schrieb:
>> nicht als Pfeifen, sondern als eine Art undefiniertes Rauschen
> Wie breit ist das Rauschen?

Hallo Bernd, Danke für das Diagramm!

Das Rauschen ist im benötigten f-Bereich ca. 10 bis 30kHz breit, vieeel 
zu breit auch für RC!


> Dein Rückkoppelempfänger kann doch auch auf 3,5MHz schwingen und hat
> eine Feineinstellung und eine Pufferstufe. Probiers doch mal damit.

Das ist eine super Idee!!! :-)  Werde ich morgen machen.


Kann der LTC1799 defekt sein? Kann im Aufbau keinen Fehler finden. Die 
Spannungen stimmen auch alle, Abblock-C 100n ker. direkt von + nach 
Masse vorhanden. Rätsel...


Jochen Fe. schrieb:
> Das riecht verdächtig nach einem unsauber schwingenden Oszillator.....

Das kann man wohl sagen! Es klingt auch danach...

von B e r n d W. (smiley46)



Lesenswert?

> Kann der LTC1799 defekt sein?
Nein, das ist für die Dinger normal.

Jitter laut Datenblatt:
Pin4 auf GND, :1, 0.4%
Pin4 offen, :10, 0.13%
Pin4 auf Plus, :100, 0.06%


Es gibt noch eine weiter Methode, bei einem funktionierenden Empfänger 
die Filtercharakteristik zu vermessen und zwar mit einem 
Rauschgenerator.

Ein Generator erzeugt weißes Rauschen. Dies wird über die Antenne 
eingespeist und auf die ZF umgesetzt, wo das Quarzfilter seine Arbeit 
verrichtet. Im Prinzip entspricht das durchgelassene Rauschspektrum 
genau der Filterkurve.

Lediglich Rauschen, welches nachträglich im NF-Verstärker oder BFO 
dazukommt, verschlechtert das Ergebnis. In der Regel ist das Filter 
selbst noch ein wenig besser, als das Messergebnis.

Da ich nur ein SSB-Quarzfilter eingebaut habe, gibt es ein 
nachgeschaltetes NF-Filter für CW. Dessen Wirkung ist schön auf der 
Durchlasskurve zu sehen.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

interessante Grafen! Nehme an, auf der X-Achse stehen [Hz]

Du hast also mit einer Rauschquelle vermessen!? Wie kommt man zu den 
Ausgangsspannungswerten bei den jeweiligen Frequenzen?


Habe zwischenzeitlich auch etwas vermessen:

Hier also die aktuellen Messergebnisse zum Ladderfilter:

U(in)= 1733mV

    [kHz]   [mV]
01. 3576,0: 0,0
02. 3577,0: 0,0
03. 3578,0: 0,0
04. 3578,5: 0,0
05. 3579,0: 0,1
06. 3579,5: 0,7
07. 3580,0: 7,7
08. 3580,5: 355
09. 3581,0: 659
10. 3581,5: 519
11. 3582,0: 713
12. 3582,5: 699
13. 3583,0: 660
14. 3583,5: 7,5
15. 3584,0: 0,0
16. 3584,5: 0,0
17. 3585,0: 0,0
18. 3586,0: 0,0

Bernd, deine Idee, mit dem Audion zu vermessen, war wirklich super!!!

Habe also in altbekannter Manier per Weltempfänger im USB-Modus gemessen 
und  mit dem Audion mit Hilfe des f-Zählers jeweils die NF-Schwebung auf 
1kHz bzw. 1,5kHz abgeglichen.

Das Ergebnis kann sich so weit, glaube ich, sehen lassen :-)

Der Bereich um 3580,0 bis 3583,5 kHz wird noch mal genauer unter die 
Lupe genommen, auch, um eine bessere Ahnung von der Welligkeit des 
Filters zu erhalten.


Ach ja, gibt es ein Freeware-Programm, mit dem man Filterkurven gut 
darstellen kann?
Wie bekommt man auf die Y-Achse dB-Werte und wie muss f auf der X-Achse 
dargestellt werden? (log. Darst.?)

von KLS (Gast)


Lesenswert?

Dieses LTC1799-Dings ist auf jeden Fall wirklich grottenschlecht! Gibt 
es ein ähnliches IC, das ein sauberes und weitgehend frequenzstabiles 
Rechtecksignal liefern kann?

Gibt es eventuell auch (zusätzlich) eins, das seriell (z.B. per µC) 
programmierbar ist und das man möglicherweise sogar als VFO benutzen 
kann?
Mit einem Pi-Filter (heißt das so?) müsste man, wenn nötig, auch ein 
Sinussignal erhalten können (für bestimmte f-Bereiche).

von KLS (Gast)


Lesenswert?

KLS schrieb:
> Dieses LTC1799-Dings ist auf jeden Fall wirklich grottenschlecht! Gibt
> es ein ähnliches IC, das ein sauberes und weitgehend frequenzstabiles
> Rechtecksignal liefern kann?
Spannungsgesteuert! (VCO!?)

von KLS (Gast)


Lesenswert?

Hier noch mal in höherer Auflösung. Die Kurve könnte von der Lage her 
ein wenig zur vorherigen abweichen, weil ich zwischen den Messungen 
versehentlich leicht am "USB-Rad" gedreht habe.

U(in)= 1735mV

    [kHz]   [mV]
01. 3578,00: 0,0
02. 3579,00: 1,7
03. 3579,50: 20,5
04. 3579,75: 149,6
05. 3580,00: 649
06. 3580,25: 553
07. 3580,50: 703
08. 3580,75: 501
09. 3581,00: 530
10. 3581,25: 692
11. 3581,50: 593
12. 3581,75: 599
13. 3582,00: 628
14. 3582,25: 586
15. 3582,50: 340
16. 3582,75: 50
17. 3583,00: 0,4
18. 3583,25: 0,1
19. 3583,50: 0,1
20. 3583,75: 0,0

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Du hast also mit einer Rauschquelle vermessen!? Wie kommt
> man zu den Ausgangsspannungswerten bei den jeweiligen Frequenzen?
Das hab ich mit Spectran ausgewertet.
http://digilander.libero.it/i2phd/spectran.html
Es gibt aber noch andere ähnliche Programme.

Für Rauschgeneratoren gibt es auch einige Bauanleitungen. Für Kurzwelle 
geht das relativ einfach.

> Das Ergebnis kann sich so weit, glaube ich, sehen lassen :-)
Sieht gut aus, eventuell wird die Welligkeit mit einem etwas höheren 
Abschlußwiderständen noch geringer. Versuch das aber noch besser zu 
messen.

> Mit einem Pi-Filter (heißt das so?) müsste man
> ein Sinussignal erhalten können
Wenn keine harmonischen mehr im Signal sind, muß zwangsläufig ein Sinus 
draus werden.

> Gibt es eventuell auch (zusätzlich) eins, das seriell
> (z.B. per µC) programmierbar ist und das man
> möglicherweise sogar als VFO benutzen kann?
Das wäre dann ein DDS wie z.B. AD9851. Den kann man auch als VFO 
benutzen mit einer Auflösung kleiner als 1 Hz.

Die RC-Oszillatoren werden etwas ruhiger, wenn eine höhere Frequenz 
durch 4 geteilt wird. Z.B. einen VFO mit dem 74HC4046 bauen und durch 4 
oder 8 teilen. Dabei mittelt sich das Phasenrauschen etwas raus. Ein 
guter OSzillator wird aber nie draus.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

Danke für das Diagrammerstellen!

Habe jetzt mal SkiDavis runtergeladen und damit versucht, die 
Filterdaten mit der höheren Auflösung darzustellen, leider klappt das 
auf die Schnelle nicht (irgendwie bekomme ich die 20 * log(10) dort 
nicht eingebaut für die Signalstärke).

Beim Vermessen mit Viertel-kHz-Schritten fiel übrigens auf, dass manche 
Frequenzen nicht eingestellt/vermessen werden konnten (bzw. nur in der 
Näherung). Ich weiß aber noch nicht, ob es am Audion oder dem 
Weltempfänger liegt.

Spectran ist ein sehr interessantes Programm, merke es vor. Vermutlich 
kann man es auch sehr effektiv in Verbindung mit einem Direktmischer 
einsetzen.

Wenn ich eine Rauschquelle benötige, werde ich vermutlich auf den 78L06 
zurückgreifen, der im TT-Audion so viel Ärger bereitet hat.


>> Das Ergebnis kann sich so weit, glaube ich, sehen lassen :-)
> Sieht gut aus, eventuell wird die Welligkeit mit einem etwas höheren
> Abschlußwiderständen noch geringer. Versuch das aber noch besser zu
> messen.

Auch schon überlegt. Allerdings wird dadurch die Bandbreite wieder 
kleiner und 2,2kHz sollten es schon sein.


> Das wäre dann ein DDS wie z.B. AD9851. Den kann man auch als VFO
> benutzen mit einer Auflösung kleiner als 1 Hz.

Gibt es noch andere? Der AD9851 läßt sich anscheinend schwer auftreiben 
(habe schon einen Beitrag im Marktforum dazu eröffnet).


> Die RC-Oszillatoren werden etwas ruhiger, wenn eine höhere Frequenz
> durch 4 geteilt wird.

Glaube, von RC-Gen. lasse ich lieber die Finger, es sei denn, es gibt 
einen relativ guten für Messzwecke.

Der LTC1799 ist für mich kein RC-Generator, sondern ein RND-Generator! 
;-)
(Zufallsrauschgenerator mit einem schwimmenden Mittelwert)



Jetzt mal Butter bei die Fische :-)))

Für einen 40m-Empfänger (oder 20m-) bräuchte ich nur einen NE602 auf den 
Rücken zu legen, ein abgestimmtes Dreifach-LC-Kreis-Filter vor den 
Eingang und den internen Oszi so aufgebaut, dass er plus oder minus 
meiner Ladderfilterfrequenz zur gewünschten Empfangs-f schwingt!?!
Am Ausgang das Ladderfilter (den Filter-Eingangs-R mit dem Ausgangs-R 
des NE602 verrechnet) und dahinter das entsprechend eingestellte 
Audion!?!?!
Ist das so einfach???



>> Ladderfilter mit Serienresonanz --> LSB-Filter
>> BFO sitzt auf der steilen Flanke "rechts" vom LSB-Signal
> Falls amn das ausreizen will, muß man darauf achten, daß bei Frequenzen
> größer 10MHz der VFO über der Empfangsfrequnez schwingt
>
> Umgekehrt bei Frequenzen kleiner 10MHz liegt der VFO unterhalb. Dies
> kann eventuell Probleme bereiten, da Harmonische in den Empfangsbereich
> und in die ZF fallen können. Es sollte vorher überprüft werden, ob diese
> Gefähr besteht.

Was bedeutet das für die Praxis in Bezug auf einen 40m-Empfänger?
Wie ich es verstehe, wäre es günstiger, mit dem Filter einen 
20m-Empfänger aufzubauen, weil der VFO dann über der Empfangsfrequenz 
schwingt.
(Die Harmonischen kämen vom VFO, nehme ich an  =>  Extra-Oszi mit 
Pi-Filter wäre besser bei 40m!?!)

von KLS (Gast)


Lesenswert?

Übrigens habe ich zwischendurch versucht, den Oszillator, mit dem ich 
die Quarze vermessen habe, zum LC-Oszillator umzufunktionieren (Quarz 
raus und LC rein).
Wollte aber nicht anschwingen!

:-(

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Habe jetzt mal SkiDavis runtergeladen
Warum nimmst Du nicht einfach Open-Office?

> irgendwie bekomme ich die 20 * log(10) dort nicht eingebaut
G = 20 * log10(Ua/Ue) (Logarithmus zur Basis 10)

>> Welligkeit mit höheren Abschlußwiderständen geringer
> Allerdings wird dadurch die Bandbreite wieder kleiner
Nein, die Abschlußwiderstände beeinflussen nur die Welligkeit.

> Der AD9851 läßt sich anscheinend schwer auftreiben
Eigentlich ist der gebräuchlich. Der kleinere Bruder AD9850 kommt auch 
in Frage, der erzeugt aber bei höheren Frequenzen schon mehr 
Unsauberkeiten. Der AD9834 ist sehr preiswert und eventuell als 
Prüfsender bis 15 MHz geeignet. Der AD9851 ginge bis 50-60 MHz, also 1/3 
vom 180MHz Takt. Mach Dich mal schlau, wie ein DDS funktioniert.

> Jetzt mal Butter bei die Fische :-)))
Lass mich mal raten, Du wohnst nördlich des Weißwurstäquators? ;)

> einen NE602 auf den Rücken zu legen, ein abgestimmtes
> Dreifach-LC-Kreis-Filter vor den Eingang
Bei einer ZF von ~3,5MHZ liegt die Spiegelfrequenz schon 7MHz drüber 
oder drunter. Möglicherweise reichen da schon 2 Vorkreise. Das müßte mal 
durchsimuliert werden.

> den internen Oszi so aufgebaut, dass er plus oder minus
> Ladderfilterfrequenz zur gewünschten Empfangs-f schwingt!?!
Korrekt. Da fällt mir noch eine Möglichkeit ein. Falls Du auf die steile 
Flanke verzichtest, und der VFO den Bereich von 10,4 bis 10,8 MHz 
überstreicht, könntest Du durch Umschalten des Vorfilters den Bereich 
zwischen 40m und 20m wechseln, also zwei Klappen mit einer Fliege.

> Am Ausgang das Ladderfilter (den Filter-Eingangs-R mit dem
> Ausgangs-R des NE602 verrechnet) und dahinter das entsprechend
> eingestellte Audion!?!?! Ist das so einfach???
Ja, das funktioniert so, wenn auch mit ein paar Kompromissen. Aber geh 
mal in Dich, momentan kannst Du die Asymetrie des Quarzfilters noch 
nicht mal nachweisen. Das spielt in mancher Hinsicht schon besser als 
Dein Weltempfänger.

Dein Plan sieht momentan noch keine AGC vor. Damit solltest Du auch erst 
mal Erfahrungen sammeln. Aber stell Dir vor, Du empfängst ein starkes 
und ein schwaches Signal, das Eine hörst Du gerade noch, beim Zweiten 
fallen Dir die Ohren ab. Um das auszugleichen hat jemand die AGC 
erfunden. Schau Dir mal die AGC auf dem angehängten Schaltplan an. Das 
ist der Empfänger, mit dem ich die drei Filterkurven aufgenommen habe.

> wäre es günstiger, mit dem Filter einen 20m-Empfänger
> aufzubauen, weil der VFO dann über der Empfangsfrequenz schwingt.
Sobald irgendwas unterhalb der Empfangsfrequenz schwingt, sollte man 
sehr vorsichtig sein. Harmonische könnten in den Empfangsbereich oder ZF 
durchschlagen, dann gibt es eine Pfeifstelle. Momentan kannst Du dein 
Konzept nicht für 80m verwenden, da dort die ZF reinfällt. Die doppelte 
Frequenz 3.58*2=7.16MHz fällt in den 40m Bereich und die vierfache 
3.58*4=14.32MHz in den 20m. Wahrscheinlich empfängst Du die Harmonischen 
deiner ZF. Aber es handelt sich jeweils nur um eine Stelle.

Noch eine Frage, wie groß ist momentan der Abschlußwiderstand des 
Quarzfilters? Sind es noch die 3.3 kOhm?

von KLS (Gast)


Lesenswert?

Hallo Bernd!


B e r n d W. schrieb:
> Warum nimmst Du nicht einfach Open-Office?

Gute Idee!

:-)


>>> Welligkeit mit höheren Abschlußwiderständen geringer
>> Allerdings wird dadurch die Bandbreite wieder kleiner
> Nein, die Abschlußwiderstände beeinflussen nur die Welligkeit.

Tatsächlich! Es sieht in der Simmulation nur so aus, weil die Amplitude 
leicht nach unten rutscht.

> Noch eine Frage, wie groß ist momentan der Abschlußwiderstand des
> Quarzfilters? Sind es noch die 3.3 kOhm?

Bis jetzt 2k2. Allerdings war der Eingangs-2k2-R direkt an D vom BF256B 
(also der vor dem BF199) angeschlossen. Keine Ahnung, welche 
Ausgangsimpedanz an der Stelle herrscht.

2k7 oder 3k3 müssten auf jeden Fall für das Filter machbar sein!
An der Stelle mal eine andere Frage: was für eine Eingangsimpedanz hat 
eigentlich das Audion??? Das geht doch eher Richtung 50-Ohm, oder?


>> Der AD9851 läßt sich anscheinend schwer auftreiben
> Eigentlich ist der gebräuchlich. Der kleinere Bruder AD9850 kommt auch
> in Frage, der erzeugt aber bei höheren Frequenzen schon mehr
> Unsauberkeiten. Der AD9834 ist sehr preiswert und eventuell als
> Prüfsender bis 15 MHz geeignet. Der AD9851 ginge bis 50-60 MHz, also 1/3
> vom 180MHz Takt. Mach Dich mal schlau, wie ein DDS funktioniert.

Habe bei der Bucht mal ein AD9850-Modul geordert. Hoffe, es reicht für 
Messzwecke bis 35MHz.

http://www.ebay.de/itm/AD9850-DDS-Signal-Generator-Signalgenerator-Module-Square-Wave-/170844831102?pt=Mess_Pr%C3%BCftechnik&hash=item27c7253d7e

Nun überlege ich, wie man einen M88 oder M16 am besten zur Steuerung 
verwendet...

Kann man mit dem AD9850 auch wobbeln? Müsste ja eigentlich gehen bei 
paralleler Programmierung!


>> Jetzt mal Butter bei die Fische :-)))
> Lass mich mal raten, Du wohnst nördlich des Weißwurstäquators? ;)

Riiiiichtig! Ich komme aus der Kölsch-Region (und wohne mittlerweile 
östlich der Saale, also Breitengrad-mäßig kein großer Unterschied).


>> einen NE602 auf den Rücken zu legen, ein abgestimmtes
>> Dreifach-LC-Kreis-Filter vor den Eingang
> Bei einer ZF von ~3,5MHZ liegt die Spiegelfrequenz schon 7MHz drüber
> oder drunter. Möglicherweise reichen da schon 2 Vorkreise. Das müßte mal
> durchsimuliert werden.

Das wäre ja nicht schlecht! Die Filterspulen müsste ich nämlich von 
irgendwelchen Platinen runterlöten, wie es aussieht.


>> den internen Oszi so aufgebaut, dass er plus oder minus
>> Ladderfilterfrequenz zur gewünschten Empfangs-f schwingt!?!
> Korrekt. Da fällt mir noch eine Möglichkeit ein. Falls Du auf die steile
> Flanke verzichtest, und der VFO den Bereich von 10,4 bis 10,8 MHz
> überstreicht, könntest Du durch Umschalten des Vorfilters den Bereich
> zwischen 40m und 20m wechseln, also zwei Klappen mit einer Fliege.

Das wäre eine oder auch mehrere Überlegungen wert!


>> Am Ausgang das Ladderfilter (den Filter-Eingangs-R mit dem
>> Ausgangs-R des NE602 verrechnet) und dahinter das entsprechend
>> eingestellte Audion!?!?! Ist das so einfach???
> Ja, das funktioniert so, wenn auch mit ein paar Kompromissen.

Was für Kompromisse? Ist der Ausgangs-R vom NE602 f-abhängig?


> Dein Plan sieht momentan noch keine AGC vor.

Das stimmt. Wenn der VFO sauber schwingt, wird über eine AGC 
nachgedacht. Fürs erste würde ich mich auch mit einem RF-Regler 
zufriedengeben.


>> wäre es günstiger, mit dem Filter einen 20m-Empfänger
>> aufzubauen, weil der VFO dann über der Empfangsfrequenz schwingt.
> Sobald irgendwas unterhalb der Empfangsfrequenz schwingt, sollte man
> sehr vorsichtig sein. Harmonische könnten in den Empfangsbereich oder ZF
> durchschlagen, dann gibt es eine Pfeifstelle. Momentan kannst Du dein
> Konzept nicht für 80m verwenden, da dort die ZF reinfällt. Die doppelte
> Frequenz 3.58*2=7.16MHz fällt in den 40m Bereich und die vierfache
> 3.58*4=14.32MHz in den 20m. Wahrscheinlich empfängst Du die Harmonischen
> deiner ZF. Aber es handelt sich jeweils nur um eine Stelle.

Da muss ich noch mal in Ruhe drüber nachdenken...


Viele Grüße Richtung Süden (über den WW-Äquator!)!

von B e r n d W. (smiley46)


Lesenswert?

>> Noch eine Frage, wie groß ist momentan der Abschlußwiderstand des
>> Quarzfilters? Sind es noch die 3.3 kOhm?
> Bis jetzt 2k2. Allerdings war der Eingangs-2k2-R direkt
> an D vom BF256B angeschlossen.

Grob geschätzt 1 kOhm. Der NE602 hat 1.5k am Ausgang, dann würde ich 
nochmal 1k in Reihe schalten und den Ausgang mit 2.7k abschließen. Dann 
einen JFet als Pufferstufe.

Du könntest jetzt schon die Pufferstufe nachschalten, dann vergrößert 
sich der Dynamikumfang Deiner Messungen.

> was für eine Eingangsimpedanz hat eigentlich das Audion?
> Das geht doch eher Richtung 50-Ohm, oder?
AM HF-Poti ja, aber wenn man direkt am J1 ankoppelt, ca. 1k.

>>> dahinter das entsprechend eingestellte Audion
>> Ja, das funktioniert so, wenn auch mit ein paar Kompromissen.
> Was für Kompromisse? Ist der Ausgangs-R vom NE602 f-abhängig?
Der Abschlußwiderstand nach dem Quarzfilter erzeugt breitbandiges 
Rauschen. Der Widerstand davor nur schmalbandiges, denn das Rauschen 
wird zum größten Teil weggefiltert.

Du wirst ein nachgeschaltetes Audion verwenden, welches noch zusätzlich 
filtert. Weitere Maßnahmen sind IMHO nicht notwendig. Bei meiner 
Schaltung oben habe ich auch diese einfache Variante gewählt. Nach dem 
DG-Mosfet gibt es aber noch ein weiteres Filter.

Falls das Konzept steht und es sowas wie einen Frequenzplan gibt, könnte 
ich mal mit einer Simulation anfangen. Dann wird auch klar, ob ein 
zweistufiges Vorfilter reicht.

> Habe bei der Bucht mal ein AD9850-Modul geordert.
> Hoffe, es reicht für Messzwecke bis 35MHz.
Mit einem nachgeschalteten Tiefpassfilter sollte das gehen.

> einen M88 oder M16
Meinst Du Mega88?

> Kann man mit dem AD9850 auch wobbeln?
Nenn es mal eher scannen. Es dauert ein paar Sekunden je nach 
Schrittweite, ist dann dafür auch genauer. Dahinter wird meist ein 
logarithmischer Verstärker AD8307 nachgeschaltet. Das steigert den 
Dynamikumfang der Messung gegenüber einem Diodengleichrichter enorm. Und 
nach der AD-Wandlung sind Daten gleich im PC zur Weiterverarbeitung.

Eventuell gibt es schon ein älteres Projekt, welches Du übernehmen 
kannst. Ich hatte mir mal zwei ähnliche Platinen aus Hongkong geholt, da 
war auch Software für den Mikrocontroller dabei. Leider nicht für den 
PC. AD9850 und AD9851 sind bis auf den unterschiedlichen Takt 
anscheinend Softwarekompatibel.

> Ich komme aus der Kölsch-Region
> Viele Grüße Richtung Süden (über den WW-Äquator!)!
Weißwurstfrühstück mit Bretzel und süßem Senf ist zwar eine Bayrische 
Tradition, bei uns hier im Großraum Stuttgart/Heilbronn gibt es das 
gelegentlich auch. Falls kein Weißbier mehr da ist, geben wir uns zur 
Not auch mal mit einem Kölsch zufrieden.

von Uwe (de0508)


Lesenswert?

Hallo,

nur zur Vollständigkeit der AD9834 (c) läuft nun auch mit 75MHz 
Quarzoszillator, damit liegt dann die Ausgangsfrequenz bei max. 30 Mhz.

Zwei Module habe ich am laufen.

- http://www.qrpforum.de/index.php?page=Thread&threadID=6976

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> Falls das Konzept steht und es sowas wie einen Frequenzplan gibt, könnte
> ich mal mit einer Simulation anfangen.

Hallo Bernd,


herzlichen Dank für das Angebot! Das wäre prima!

Die Idee mit der ZF um 10,3MHz für 40m und 20m klingt auf jeden Fall 
gut! Denke, dass ich es so aufbauen werde.

Ich melde mich morgen noch ausführlicher zum Thema (und zu den anderen 
auch).


Habe seit gestern das Elektor-Buch "311 Schaltungen" hier. Dort wird ein 
recht minimalistischer Empfänger (von einem Gert Baars) vorgestellt, der 
lt. Beschreibung von 0Hz bis 18MHz empfängt (Projekt Nr.175). Der 
Haupttrick ist die hohe ZF.

Vom selben Autor wird auch ein variables Quarzfilter (Kettenfilter mit 
drei Quarzen und 2x BB204 Doppel-C-Diode, Nr. 228) vorgestellt.

Ok, also morgen mehr dazu.

Viele Grüße!

von B e r n d W. (smiley46)


Lesenswert?

@KLS
> Die Idee mit der ZF um 10,3MHz für 40m und 20m
VFO von 10.4-10.8, ZF wie gehabt.

> lt. Beschreibung von 0Hz bis 18MHz empfängt
Die hohe ZF hat Vor- und Nachteile. Es gibt einen großen Abstimmbereich, 
Selektiert wird durch einen Tiefpass mit Fg = 30 MHz. Dein Vorverstärker 
und der Mischer müssen alle Signale von Null bis 30 MHz verkraften. So 
dürfte auch Deine Weltempfänger funktionieren. Bei der 
Großsignalfestigkeit mußt Du einige Abstriche machen. Mit dem NE602 
würde ich das nicht probieren.

@Uwe
Das sieht gut aus! Welche Auflösung erreicht man damit? Ein AD9834 liegt 
hier auch noch rum. Der war allerdings für was Anderes gedacht.

von Uwe (de0508)


Lesenswert?

B e r n d W. schrieb:
> @Uwe
> Das sieht gut aus! Welche Auflösung erreicht man damit? Ein AD9834 liegt
> hier auch noch rum. Der war allerdings für was Anderes gedacht.

Mir reicht 1Hz.

Den AD9834 will ich auch mal für einen Antennenanalysator einsetzen.
Treiber AD8000 OPAmp,
Detektor evtl. mit AD8302: 2.7GHz RF / IF Gain Phase Detector,
LCD Anzeige für portabel Betrieb.

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

@Uwe
>> Welche Auflösung erreicht man mit dem AD9834?
> Mir reicht 1Hz.
Das würde mir auch reichen.

@KLS
> Dein Vorverstärker und der Mischer müssen alle Signale
> von Null bis 30 MHz verkraften.
Stimmt nicht ganz, man kann ja trotzdem ein Vorfilter davorsetzen. Und 
mit der Loopantenne wird auch noch selektiert.

Mit der Simulation hab ich schon mal angefangen. Im Extremfall, 
Nutzsignal 1µV und Störsignal 10mV, könnten Störungen auftreten. Die 
Spiegelfrequenzunterdrückung mit 2fach Vorfilter scheint nur mit 
Notchkreis auszureichen. Dann ist die Frage, ob Du nicht lieber gleich 
3-fach Filter verwendest. Eventuell sollte ich mal beide Varianten zum 
Vergleich in ein Diagramm packen.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

Danke für die Angaben zu den Ein-/Ausgangsimpedanzen!

B e r n d W. schrieb:
> Du könntest jetzt schon die Pufferstufe nachschalten, dann vergrößert
> sich der Dynamikumfang Deiner Messungen.

Gute Idee! z.B. einfach einen Sourefolger mit einem BF245A? Wenn ja, wie 
groß sollte der S-Widerstand in etwa sein? Der G-Widerstand ergibt sich 
ja aus dem Filter-Abschluss-R.

Die Messungen mit dem Weltempfänger bei Auflösungen von < 500Hz machen 
irgendwie keinen Spass (möglicherweise liegt es daran, dass das Audion 
als Messsender sich in dem hochauflösenden Bereich schlecht einstellen 
läßt!?).

Deshalb das AD9850-Modul. Damit kann man ja über den µC per Tastendruck 
problemlos im 100-Hz-Raster (oder noch viel feiner) durchmessen und 
braucht dafür noch nicht mal einen zusätzlichen Frequenzähler.


>> was für eine Eingangsimpedanz hat eigentlich das Audion?
>> Das geht doch eher Richtung 50-Ohm, oder?
> AM HF-Poti ja, aber wenn man direkt am J1 ankoppelt, ca. 1k.

RF-Regler und Balun sind aber schon eine gute Sache! Insbesondere 
schlagen mit Langdraht direkt am J1 hin und wieder stärkere Sender (MW) 
durch, mit Balun überhaupt nicht! :-)


> @KLS
>> Die Idee mit der ZF um 10,3MHz für 40m und 20m
> VFO von 10.4-10.8, ZF wie gehabt.
>
>> lt. Beschreibung von 0Hz bis 18MHz empfängt
> Die hohe ZF hat Vor- und Nachteile. Es gibt einen großen Abstimmbereich,
> Selektiert wird durch einen Tiefpass mit Fg = 30 MHz.

Die Erwähnung von dem Weltempfänger aus dem Elektorbuch war nur 
nebenbei, ich finde die Idee für einen AM-Empfänger ganz witzig.


Für den 40m/20m-Empfänger habe ich mir folgendes (weiter-) überlegt:

Umschaltbares Eingangsfilter mit jew. zwei abgestimmten und am hochpunkt 
kapazitiv gekoppelten Schwingkreisen aus Filterspulen (hoffe, das 
funktioniert auch für 20m).

Der VFO überstreicht einen Bereich von (etwas mehr als)
10,6375 +-0,175 [MHz]

Das bedeutet für 40m:
6,925 bis 7,275 [MHz]

und für 20m:
14,000 bis 14,350 [MHz]

Der Bereich für 40m ist so zugegebenermaßen etwas groß... mit einer 
f-Feineinstellung sollte es aber gehen.

(im Grunde also deine Idee!)

Dann habe ich überlegt, statt des Audions könnte man vielleicht auch ein 
Empfänger-IC verwenden.
Habe zur Auswahl TDA1072, TDA1572, TEA5570 und A244D (= TCA440), ferner 
TDA440(Video IF Amp.), A281D (ZF-Verstärker???) und M810 (so ein kleines 
SMD-Ding).

Wenn man hinter das Ladderfilter ein TDA1072 oder TDA1572 hängen würde, 
hätte man direkt eine AGC integriert. Das 455kHz-ZF-Filter würde ja 
nicht mehr benötigt werden (?!), dann könnte man dort vielleicht das 
BFO-Signal einspeisen.
Eventuell könnte man auch den VFO des ICs zum BFO ausbauen!?

Einen Demodulator hätte man auch schon integriert. Ebenfalls einen 
Anschluss für ein S-Meter.

Was hältst du von der Idee?


>> Was für Kompromisse? Ist der Ausgangs-R vom NE602 f-abhängig?
> Der Abschlußwiderstand nach dem Quarzfilter erzeugt breitbandiges
> Rauschen.

Ein Widerstandsrauschen von R < 3k3 macht sich schon störend bemerkbar?

B e r n d W. schrieb:
>> einen M88 oder M16
> Meinst Du Mega88?

Genau, Atmega88 oder Atmega16. Letzterer hat mehr Beine (DIL40) und 
damit mehr Ein-/Ausgänge :-)

Meine Idee: f-Anzeige über LCD, jeweils zwei Drucktasterreihen für das 
Vorschalten (Addieren der "Tastenfrequenz") ->

Vorschalten in Reihe1:
5KHz, 500Hz, 50Hz, 5Hz-Schritten

Vorschalten in Reihe2:
1KHz, 100Hz, 10Hz, 1Hz-Schritten

So müsste man ziemlich schnell filter in den genannten abständen 
vermessen können, Ergebnisse werden direkt in den PC eingetippt 
(humanoide Schnittstelle).

Damit man um den jeweiligen Bereich zurückschalten kann, wird eine 
andere Taste parallel gedrück.

Datenübertragung ans AD9850-Modul dann lieber seriell wegen geringerem 
Verkabelungsaufwand.


> Dahinter wird meist ein
> logarithmischer Verstärker AD8307 nachgeschaltet.

Der wird auch als Demodulator in oben genanntem 
Elektorprojekt-Weltempfänger verwendet.


> Eventuell gibt es schon ein älteres Projekt, welches Du übernehmen
> kannst. Ich hatte mir mal zwei ähnliche Platinen aus Hongkong geholt, da
> war auch Software für den Mikrocontroller dabei. Leider nicht für den
> PC.

Ich würde auch eine fertige Software in einen Atmega flashen und den 
Aufbau entsprechend wie dafür benötigt gestalten.
Habe allerdings noch nicht danach gesucht.

Warum geht keine serielle Steuerung mit dem PC? Wegen den 
RS232-Pegelunterschieden? In dem Fall könnte man einen Max232 
zwischenschalten.


>> Ich komme aus der Kölsch-Region
>> Viele Grüße Richtung Süden (über den WW-Äquator!)!
> Weißwurstfrühstück mit Bretzel und süßem Senf ist zwar eine Bayrische
> Tradition, bei uns hier im Großraum Stuttgart/Heilbronn gibt es das
> gelegentlich auch. Falls kein Weißbier mehr da ist, geben wir uns zur
> Not auch mal mit einem Kölsch zufrieden.

Lach, Kölsch ist ja auch obergährig.
Weißwurstfrühstück mit Bretzel und süßem Senf klingt auf jeden Fall sehr 
deftig!
Ich persönlich bin ja eher ein Freund herber Pilssorten (allerdings 
nicht zum Frühstück ;-))

von KLS (Gast)


Lesenswert?

KLS schrieb:
> Meine Idee: f-Anzeige über LCD, jeweils zwei Drucktasterreihen für das
> Vorschalten (Addieren der "Tastenfrequenz") ->
>
> Vorschalten in Reihe1:
> 5KHz, 500Hz, 50Hz, 5Hz-Schritten
>
> Vorschalten in Reihe2:
> 1KHz, 100Hz, 10Hz, 1Hz-Schritten

Also von der Anordnung etwa so ( X = Taster):




              X 5KHz

                            X 1KHz

              X 500Hz

                            X 100Hz

              X 50Hz

                            X 10Hz

              X 5Hz

                            X 1Hz



                      X Invertierungstaster





(ohne Invertierungstasterdruck werden die genannten Taster-Frequenzen 
jeweils zur Display-Frequenz addiert , wenn der Invertierungstaster 
gedrückt ist, werden die Tasterfrequenzen subtrahiert .
So kann man die Frequenzen schnell und trotzdem hochauflösend einstellen 
und hat trotzdem nicht alzu viele Taster. Beim Filtervermessen will man 
(denke ich) in der Regel sowieso nur von einer Anfangsfrequenz in 
definierten Schritten zu einer höheren Endfrequenz. Der 
Invertierungstaster kann übrigens bequem mit dem Daumen bedient werden)

von B e r n d W. (smiley46)


Lesenswert?

> einfach einen Sourefolger mit einem BF245A
Der Puffer-Verstärker im Schaltplan macht in etwa 20 dB. Als 
Arbeitsdrossel 82 oder 100µH.

> RF-Regler und Balun sind aber schon eine gute Sache!
Der HF-Regler muß aber ganz nach vorne.

> ich finde die Idee für einen AM-Empfänger ganz witzig.
Da kann man auch mal kurz auf DCF77 drehen und sich die Zeit anhören. 
Dann gibt es noch einen AFU-Bereich bei 138 kHz.

> Umschaltbares Eingangsfilter mit jew. zwei abgestimmten und
> am hochpunkt kapazitiv gekoppelten Schwingkreisen aus Filterspulen
Die beiden Filter können fest am NE602 verdahtet werden. Umgeschaltet 
wird am Schleifer des HF-Potis, am Besten mit 2xUM. Das unbenutzte 
Filter wird auf GND gelegt wegen dem Übersprechen.

> Ein Widerstandsrauschen von R < 3k3 macht sich schon störend bemerkbar?
Es rauscht mit 0.28µV bei 3 kHz Bandbreite aber 1.65µV bei 100 kHz Es 
kommt also auf die nachfolgende Bandbreite an. Letzteres würde schon 
stören.

> Der Bereich für 40m ist so zugegebenermaßen etwas groß
Er hat die selbe Auflösung wie 20m, mit Fein-Poti kein Problem.

> Meine Idee: f-Anzeige über LCD

Eigentlich müssen drei Einstellungen gemacht werden:
die Schrittweite für die Frequenzauflösung
die Verweildauer wegen der Einschwingzeit des Filters

Damit kann die Filtermessung automatisch ablaufen. Das Ganze wird 
Excel-gerecht per RS232 an den PC gesendet.

Es gibt einen Zusammenhang zwischen Schrittweite und Verweildauer. Dies
könnte in einer Tabelle abgelegt und ausgewählt werden. Es reichen in 
der Regel 3 Taste: +/- und Enter. Eventuell wäre ein 10er Feld 
interessant, um Frequenzen direkt einzugeben.

Soll der Generator als Test-Generator oder VFO fungieren, muß eine 
Ablage programmierbar sein und die Frequenz sich eventuell nach Deiner 
Methode bequem einstellen lassen.

> Warum geht keine serielle Steuerung mit dem PC?
Nur bei meinen Modulen war keine PC-Software dabei. Die selber zu 
schreiben oder was anderes, fertiges zu verwenden sollte kein Problem 
sein. Am liebsten würde ich mich aber an einen einfachen 
Netzwerk-Analyser dranhängen, da die Auswertung doch einen gewissen 
Aufwand darstellt.

> allerdings nicht zum Frühstück ;-))
Weißwurst-Frühstück gibt es um 10 oder 11 Vormittags zu besonderen 
Anlässen.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> einfach einen Sourefolger mit einem BF245A
> Der Puffer-Verstärker im Schaltplan macht in etwa 20 dB. Als
> Arbeitsdrossel 82 oder 100µH.

Kannst du den Schaltplan noch mal in etwas größer posten oder die 
.asc-Datei? Ich würde ihn zum besseren Sichten gerne ausdrucken.
BF246A habe ich auch noch in der Bastelkiste, er ist ein bisschen 
"stromstärker" als sein BF245er-Kollege, stimmts? :-)

Der FET ist bei dir sozusagen als Aufholverstärker geschalten für die 
Filterverluste, nehme ich an...


>> RF-Regler und Balun sind aber schon eine gute Sache!
> Der HF-Regler muß aber ganz nach vorne.

Selbstverständlich! :-)

>> ich finde die Idee für einen AM-Empfänger ganz witzig.
> Da kann man auch mal kurz auf DCF77 drehen und sich die Zeit anhören.
> Dann gibt es noch einen AFU-Bereich bei 138 kHz.

Ja! Mein Weltempfänger kann z.B. nur 150kHz bis 29,999MHz.
In einem anderen Elektorbuch habe ich einen Schaltplan für einen 
VLF/LW/MW-Empfänger gefunden (ein Doppelsuper). Bei Interesse könnte ich 
mal den/die Schaltpläne schicken.

Die Zeit anzuhören ist natürlich immer gut! ;O)
Glaube, das kann man auch irgendwo im VLF-Band (20,5 und 23 kHz).

>> Umschaltbares Eingangsfilter mit jew. zwei abgestimmten und
>> am hochpunkt kapazitiv gekoppelten Schwingkreisen aus Filterspulen
> Die beiden Filter können fest am NE602 verdahtet werden. Umgeschaltet
> wird am Schleifer des HF-Potis, am Besten mit 2xUM. Das unbenutzte
> Filter wird auf GND gelegt wegen dem Übersprechen.

Sehr gute Sache! Hatte schon über PIN-Dioden nachgedacht... wenn aber 
nur die Filtereingänge umgeschaltet werden, geht auch ein ein echter 
Umschalter...


> Eigentlich müssen drei Einstellungen gemacht werden:
> die Schrittweite für die Frequenzauflösung
> die Verweildauer wegen der Einschwingzeit des Filters

Ups. Ich glaube, meine Filter müssen erstmal nur mit der Frequenz 
vorlieb nehmen.
Wenn es mal dazu kommt, dass ich öfter HF-Filter vermessen muss, kommt 
ein Netzwerkanalysator ins Haus.

> Es gibt einen Zusammenhang zwischen Schrittweite und Verweildauer.

In Bezug auf was?

> Soll der Generator als Test-Generator oder VFO fungieren, muß eine
> Ablage programmierbar sein und die Frequenz sich eventuell nach Deiner
> Methode bequem einstellen lassen.

Die Ablage ist im µC ja schon als EEPROM eingebaut. Bei dem Prpjekt soll 
ein programmierbarer Rechteckgenerator als Messender entstehen.

Später will ich noch einen VFO mit einem AD9851 aufbauen.

>> Warum geht keine serielle Steuerung mit dem PC?
> Nur bei meinen Modulen war keine PC-Software dabei. Die selber zu
> schreiben oder was anderes, fertiges zu verwenden sollte kein Problem
> sein. Am liebsten würde ich mich aber an einen einfachen
> Netzwerk-Analyser dranhängen, da die Auswertung doch einen gewissen
> Aufwand darstellt.

Verständlich!

>> allerdings nicht zum Frühstück ;-))
> Weißwurst-Frühstück gibt es um 10 oder 11 Vormittags zu besonderen
> Anlässen.

Hatte mich schon gewundert, wer um sechs Uhr früh Brezeln und Weißwurst 
zu sich nimmt und dann in den Arbeitstag startet...


KLS schrieb:
> Dann habe ich überlegt, statt des Audions könnte man vielleicht auch ein
> Empfänger-IC verwenden.
> Habe zur Auswahl TDA1072, TDA1572, TEA5570 und A244D [...]

Was sagst du denn zu meiner Idee mit dem AM-Chip hinter dem Filter? Das 
wäre doch eigentlich ideal!
(oder ist dir das zu unsportlich?)

von KLS (Gast)


Angehängte Dateien:

Lesenswert?

B e r n d W. schrieb:
> [...] Schrittweite, ist dann dafür auch genauer. Dahinter wird meist ein
> logarithmischer Verstärker AD8307 nachgeschaltet. Das steigert den
> Dynamikumfang der Messung gegenüber einem Diodengleichrichter enorm.

Kann man hier eigentlich den Diodengleichrichter verbessern, indem man 
die Ge-Diode sinnvoll vorspannt?

(im Anhang der Schaltplan für den HF-Gleichrichter, den ich für die 
Messung verwendet habe, irgendwo im Netz gefunden)

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Dann habe ich überlegt, statt des Audions könnte man
> vielleicht auch ein Empfänger-IC verwenden.

Habe zur Auswahl :

TDA1072         kompletter AM Empfänger bis 60 MHz
TDA1572         kompletter AM Empfänger bis 60 MHz
A244D = TCA440  kompletter AM Empfänger bis 30 MHz
TEA5570         kompletter AM Empfänger bis 30 MHz, FM ZF

Diese wären IMHO eher als Frontend geeignet, da ja erst ein Mischer 
angeordnet ist und dann die ZF, dann ein AM-Demodulator mit AGC. Der 
TCA440 wurde immer gerne für AFU-Zwecke benutzt, es sollten also 
genügend Schaltungsvorschläge existieren. Man müßte die AGC verwenden, 
aber die ZF zu Weiterverarbeitung vor dem Demodulator auskoppeln.

Besser wäre, die ZF rauszuführen und die Demodulation mit einem 
richtigen Produktdetektor zu verwirklichen. Dabei wird im Gegensatz zu 
obigen Bausteinen die AM unterdrückt. Dies reduziert Störungen.

NE602 Oszillator, Double Balanced Mixer, Verstärker 17dB
Ideal als Produktdetektor geeignet, wird häufig benutzt

Ein Diodenmischer mit 2 oder 4 Dioden wäre sehr gut als Produktdetektor 
geeigent. Darüber läßt sich viel im Netz finden. Man benötigt einen 
externen BFO.

M810 (IAM81008) 5 GHz Double Balanced Mixer/IF Amp 8dB
Vermutlich als Produktdetektor geeignet mit 2 optionalen Kondensatoren, 
benötigt aber einen externen BFO, SO-8 Gehäuse

A281D = TAA981  AM/FM ZF-Verstärker
bisher keine vernünftige Applikation gefunden

TDA440          Video IF Amp.
hier Projekt nutzlos

DDR-Halbleiter:
http://ok1ike.c-a-v.com/soubory/ddr/index.htm

> einen Schaltplan für einen VLF/LW/MW-Empfänger gefunden
> (ein Doppelsuper). Bei Interesse könnte ich mal den/die
> Schaltpläne schicken.
Nur wenn einer der genannten Empfänger-ICs verwendet wird.

von Jochen F. (jamesy)


Lesenswert?

Statt IAM 81008 geht auch der CA3054, der besteht aus 2 halben 
Gilbertzellen. Der AD8307 hat weniger Bandbreite als der AD8302, also 
einen besseren unteren Wert für die Amplitudenerkennung.
Falls man ihn bekommt, ist auch der MC3356 sehr nützlich als 
Demodulator, wenn auch nciht ganz so linear wie der AD8307.
Im Grunde benötigt man ja nur das Videosignal, und das ergibt sich aus 
dem RSSI-S9ignal der Bausteine.

von Uwe (de0508)


Lesenswert?

Idee VFO mit direkter Ansteuerung des FA-NWT.

http://www.qrpforum.de/index.php?page=Thread&postID=52955#post52955

Vor allen meinen DDS ad9834, ad9851 und ad9951 sitzt eine FA-NWT 
kompatible Firmware, die im einfachsten Fall, nur die 
Frequenzeinstellung zulassen muss.

Wobbeln und das mW-Meter wären dann Erweiterung mit einem AD8307/ AD8310 
an einem ADC Eingang.
Schaltausgänge für eine der drei mögl. Dämpfungslieder sind eine weitere 
Alternative.

Andreas, DL4jAL hat das alles sehr genau beschrieben:

http://www.dl4jal.eu/hfm9.htm

Siehe:
Gesamtdokumentation LinNWT/WinNWT V4.xx (PDF)

von B e r n d W. (smiley46)



Lesenswert?

Die Schaltung hat einen Offset, welcher bei jedem Messwert subtrahiert 
werden muß.

Eine weitere Möglichkeit wäre, die zweite Diode in die Gegenkopplung 
eines OPs zu schalten. Dieser regelt die Vorspannung der zweiten Diode 
so nach bis eine identische Ausgangsspannung erreicht wird. Manche 
Powermeter geben sogar eine Wechselspannung vor und lassen diese 
ebenfalls gleichrichten. Der Regelkreis vergleicht das Ausgangssignal 
der beiden Gleichrichter.

von ronin (Gast)


Lesenswert?

Hallo,

kann mir jemand sagen, wo in der Praxis die obere Frequenzgrenze für 
Ladderfilter liegt?

Wir haben gelernt, dass Quarze mit höherer Frequenz meistens 
Obertonquarze sind.

Das bedeutet dann wohl, das in der Praxis Grundtonquarze mit einer 
Frequenz größer als 20MHz wahrscheinlich schlecht verfügbar sind?

Danke!

von B e r n d W. (smiley46)


Lesenswert?

Es gibt einen Bereich zwischen 20 und 40 MHz, wo sich Grundwellen- und 
Obertonquarze überlappen. Die Grundwellenquarze werden bei den hohen 
Frequenzen sehr dünn und empfindlich. Es gibt wohl eine mechanisch 
sinvolle obere Grenze für Grundwellenquarze.

Es ist aber auch möglich, Quarzfilter auf dem Oberton zu bauen, wobei 
ich das bei Ladderfiltern noch nie gesehen habe. Mit Half-Lattice 
funktioniert es jedenfalls. Bei Oberwellenquarzen wird die nutzbare 
Bandbreite geringer, da die Parallelkapazität gleich bleibt. Parallel- 
und Serienresonanz rücken beim Obertonquarz näher zusammen und der 
Spielraum wird kleiner.

Da das Quarzfilter auf der Grundwelle auch durchläßt, muß dies mit einer 
entsprechenden Maßnahme verhindert werden.

Jemand hat bei einem Oszillator oberhalb von 100MHz ein selbstgebautes 
Quarzfilter auf der 5-fachen zur Reduktion des Phasenrauschens 
nachgeschaltet. Leider finde ich die Seite gerade nicht.

von Uwe (de0508)


Lesenswert?

Hallo Bernd,

meinst Du vielleicht den IQ-Generator aus dem Hause Funkamateur ?

http://www.box73.de/product_info.php?products_id=2266

_

von Jochen F. (jamesy)


Lesenswert?

B e r n d W. schrieb:
> Es ist aber auch möglich, Quarzfilter auf dem Oberton zu bauen, wobei
> ich das bei Ladderfiltern noch nie gesehen habe. Mit Half-Lattice
> funktioniert es jedenfalls.

Hallo Bernd,

ich weiß gar nicht, warum ich das noch nie probiert habe. Ich werde 
einmal ein paar Tests fahren, auch mit SMD-Quarzen im kleinen 
Keramikgehäuse. Ich habe hier einen HP 3585A und einen W&G TSA-1, das 
sollte zum Ausmessen reichen ;-)
Vielen Dank für die Anregung!
Gruß, Jochen

von schwaby (Gast)


Angehängte Dateien:

Lesenswert?

so könnts gehen:

P so abgleichen, daß bei "out" grade keine Gleichspannung meßbar ist

(die Widerstände linker Hand haben ungefär den doppelten Wert vom 
ursprünglichen Wid. an der Anode)

Ist aber immer noch nicht sehr genau bei kleinen Spannungen weil die 
Kennlinie von der Diode besonders unten nicht grade ist

von EMU (Gast)


Lesenswert?

Jochen Fe. schrieb:
> B e r n d W. schrieb:
>> Es ist aber auch möglich, Quarzfilter auf dem Oberton zu bauen, wobei
>> ich das bei Ladderfiltern noch nie gesehen habe. Mit Half-Lattice
>> funktioniert es jedenfalls.

Wenn ihr hier etwas weiter unten in dem paper schaut, sind dort auch 
Obertonquarzfilter beschrieben und welchen Nachteile sie mit sich 
bringen
http://fa-nwt.akadns.de/blogs/media/blogs/dj6ev/quarzfilter/Quarzfilter_Rev2.pdf

EMU

von B e r n d W. (smiley46)


Lesenswert?

@schwaby

Schon bei der geringsten Vorspannung kommt hinten ein DC-Signal raus, 
das läßt sich nicht vermeiden. Es hängt von der Dimensionierung der 
Bauteile ab, ob das 50mV sind oder 5mV. Die zweite Diode war auch zur 
Temperaturkompensation gedacht. Mit einer negativen Vorspannung am 
Fusspunkt von R3 oder einer Brückenschaltung fürs Multimeter kann der 
Nullpunkt kompensiert werden.

Oder mit einer OPV-Schaltung den Offset kompensieren und mit einem 
zweiten den Meßbereich um Faktor 1/10/100 verfeinern.

von Hein3 (Gast)


Angehängte Dateien:

Lesenswert?

KLS schrieb:
>...wird ein recht minimalistischer Empfänger vorgestellt, der
>lt. Beschreibung von 0Hz bis 18MHz empfängt. Der
>Haupttrick ist die hohe ZF.

Sowas hier?

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

Man beachte die Symmetrie bei der Abstimmung mittels Stromquelle und 
2er C-Dioden.
Namaste

von B e r n d W. (smiley46)


Lesenswert?

> der Abstimmung mittels Stromquelle
Irgendwie ist das keine "echte" Stromquelle. Soll damit eine 
Temperaturdrift kompensiert werden? Die Kompensation müßte bei niedriger 
Abstimmspannung stärker wirken. Steht da was im Text?

von supergrobi (Gast)


Lesenswert?

B e r n d W. schrieb:
> Steht da was im Text?

Statt teurem Mehrgangpoti wird aus Kostengründen mit 2 Potis abgestimmt:

Zitat: "Am "Fine"-Poti (P2) liegt über einen als Stromquelle 
geschalteten Transistor eine konstante Spannung von ungefähr 1V an. Das 
"Band"-Poti (P3) hat praktisch keinen Einfluss auf die Spannung an P2, 
so dass beide Potis voneinander unabhängig bedient werden können."

Hmm, da stellt Grobi sich die Frage, warum das so sein soll...

B e r n d W. schrieb:
> Soll damit eine
> Temperaturdrift kompensiert werden?

Davon steht da nix.


Aber man kann wohl den 4k7 erhöhen, dann wird der Bereich von P2 enger.

von flo (Gast)


Lesenswert?

wenn man P3 schon als Band-Poti bezeichnet, sollte man wenigstens so 
konsequent sein und es durch ein schaltbares widerstandsnetzwerk zur 
bereichsumschaltung ersetzen.

yeahhhh!


:O)

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

@ grobi

...,weil der Emmiterwiderstand eine Gegenkopplung bewirkt welche die 
Drift ausregelt.

;-)

von B e r n d W. (smiley46)


Lesenswert?

Da steht beim zweiten Schaltbild:
The 1N4005 diode is for temperature compensation

http://www.qrp.pops.net/cascoder1.asp

Es ist ja durchaus bekannt, daß man Dioden (oder BE-Strecken) als 
Temperaturfühler verwenden kann. Deshalb ist es durchaus möglich, dass 
das Ube des Transistors eine Temperaturkompensation bewirkt.

Dann gleich die nächste Frage:
Warum fehlt bei der D1 auf einer Seite der Gleichstrompfad?

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

Es ist die Driftkompensation!

Über R5 und R6 kann Strom und Temperatureinfluß gezielt voreingestellt 
werden. Der Spannungsabfall an R5 beträgt von 0-40°C 112-183mV. Der 
Kollektorstrom ändert sich von 24-39µA.

@KLS
Damit kannst Du Deinen Tentec Nachbau driftfrei bekommen.

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

Meine Vermutung D1 kompensiert die HFPhasenabhängige 
Kapazitätsverschiebung der Abstimmdiode (D2?) darunter. Dies ist 
möglich, da für die Cs die HF eine endlichchen Widerstand aufweisen und 
die Flussspannung von D1 zu keinem Zeitpunkt erreicht wird.

Dummerweise wird dieser Aufwand durch die Gleichstrombelastung von L1 
konterkariert welche wohl teil der Serienresonanz sein soll. ich beziehe 
mich mal auf Schematic2.

Bekomme ich ein Bienchen?

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

B e r n d W. schrieb:
> Es ist die Driftkompensation!
>
> Über R5 und R6 kann Strom und Temperatureinfluß gezielt voreingestellt
> werden. Der Spannungsabfall an R5 beträgt von 0-40°C 112-183mV. Der
> Kollektorstrom ändert sich von 24-39µA.
>
> @KLS
> Damit kannst Du Deinen Tentec Nachbau driftfrei bekommen.

siehe 2 beiträge höher ;-)

o.k. nicht so schön aber klar und deutlich

von KLS (Gast)


Lesenswert?

Hein3 schrieb:
> Sowas hier?

Jepp! Bei meinem Schaltplan folgt dann noch ein NF-Verstärker mit LM386.


B e r n d W. schrieb:
> Es ist ja durchaus bekannt, daß man Dioden (oder BE-Strecken) als
> Temperaturfühler verwenden kann. Deshalb ist es durchaus möglich, dass
> das Ube des Transistors eine Temperaturkompensation bewirkt.

Kann gut sein! Nur weil es nicht im Text steht, kann der Erdenker der 
Schaltung es ja trotzdem zur T-Komp. eingebaut haben.


B e r n d W. schrieb:
> The 1N4005 diode is for temperature compensation

Das wäre vielleicht auch mal einen Versuch wert für mein driftendes 
TT-Audion!


Irgendwie sind jetzt viele Baustellen offen...

Diese 0 bis 18MHz-Schaltung wirkt auf mich irgendwie verlockend.
Wenn man einen großsignalfesten Mischer nähme, dazu einen BFO, dann ein 
Mehrgang-Poti für die f-Einstellung und zu guter letzt statt des 
Keramikfilters ein Ladderfilter (!!!), könnte es ein ganz 
interessantes Projekt werden.
Alles in ein Gehäuse ähnlich dem BC-348 und man hat ein feines 
Gerätchen...
:-)


Von der AM-IC-Benutzung trete ich vorerst wieder zurück. Das kommt aber 
später noch.
(Danke Bernd für die Infos zu den ICs, einige hatte ich bereits 
gegoogelt aber z.T. relativ viel Bahnhof verstanden. Ist der IAM81008 
eigentlich  großsignalfester als der NE602 (wg. der höheren 
Versorgungsspannung?

Bleibe wie gehabt bei deinem Schaltplan oben, Bernd! Später einen 
Produktdetektor aufzubauen, wäre sicher nicht verkehrt. Jetzt erst mal 
mit Vorfiltern, Mischer, Ladderfilter und Audion nebst NE602-LO.

Habe mittlerweile ein Vorfilter für das 40m-Band aufgebaut, ein bisschen 
gemessen und Filterspulen nachgedreht (Messung per Audion und 
40m-Steckspule). Jetzt hat es eine ganz minimal überkritische 
Einstellung, die Flanken sind so weit im richtigen Bereich.

Der nächste Schritt wäre, ein solches Filter für 20m aufzubauen. Bin 
nicht ganz sicher, ob man dafür ebenfalls ohne weiteres 
10,7MHz-Filterspulen nehmen kann. Man wird es wohl direkt in der Praxis 
ausprobieren müssen. Ansonsten handwickeln.

*** Noch eine wichtige Frage ***
Vor die Filterspulen kommt der Balun und davor das RF-Poti!!!(?)
Wenn ja, verändert der Balun nicht die Induktivität der ersten 
Filterspule und verstimmt das Filter wieder?



B e r n d W. schrieb:
> Dann gleich die nächste Frage:
> Warum fehlt bei der D1 auf einer Seite der Gleichstrompfad?

Du meinst bei der linken C-Diode? Deren Anode ist über L2 
gleichstrommäßig mit Masse verbunden.


(Die Fragen zum HF-Gleichrichter müssen zunächst vertagt werden)

von KLS (Gast)


Angehängte Dateien:

Lesenswert?

B e r n d W. schrieb:
> Es ist die Driftkompensation!
>
> Über R5 und R6 kann Strom und Temperatureinfluß gezielt voreingestellt
> werden. Der Spannungsabfall an R5 beträgt von 0-40°C 112-183mV. Der
> Kollektorstrom ändert sich von 24-39µA.
>
> @KLS
> Damit kannst Du Deinen Tentec Nachbau driftfrei bekommen.

Hallo Bernd,

das wäre ja super!

Habe eben schon alle Kerkos < 10p rausgekramt um zu schauen, ob welche 
mit entsprechendem T-Koeffizienten dabei sind. Momentan hat die 
Feineinstellungs-LED einen NP0 als Vor-"Kondensator".
Damit hätte ich es dann erst mal versucht...


>Der Spannungsabfall an R5 beträgt von 0-40°C 112-183mV

Kühlschrank-nach-Backofen-Messung oder Simulation?

von B e r n d W. (smiley46)


Lesenswert?

> die Flussspannung von D1 zu keinem Zeitpunkt erreicht wird.
Bei der BB104 handelt es sich um eine Doppel-Varicap. Die obere Diode 
wird sich selber so weit vorspannen, bis die Halbwelle in 
Durchflußrichtung sie gerade noch ganz kurz leitend macht

> Gleichstrombelastung von L1
Zum Glück beträgt der Strom nur ca. 100µA.

> Diese 0 bis 18MHz-Schaltung wirkt auf mich irgendwie verlockend
Der VFO schwingt auf 45-63 MHz. Ob der auf Anhieb stabil läuft?

> Ist der IAM81008 eigentlich  großsignalfester als der NE602
De IAM ist in diesem Zustand Großsignalfester, rauscht aber auch 
stärker. Der Unterschied kommt von der zusätzlichen Verstärkung des 
NE602/612. Verstärkt ma das Signal vor dem IAM um den gleichen Betrag, 
kommen ähnliche IP3- und Rauschwerte zustande.

> für 20m aufzubauen, ob man dafür 10,7MHz-Filterspulen nehmen kann
Auf jeden fall müßten die internen Cs raus, denn sonst kommst Du nicht 
so weit hoch. Wenn die Induktivität noch in der Nähe von <=4µH liegen, 
ist das ok.

> Vor die Filterspulen kommt der Balun und davor das RF-Poti
Der Balun wird hier nicht benötigt, nur das Poti.

>> Warum fehlt bei der D1 auf einer Seite der Gleichstrompfad?
> Deren Anode ist über L2 gleichstrommäßig mit Masse verbunden.
Mißverständnis, es ging um das zweite Schaltbild, da hängt die Diode in 
der Luft. Keine Ahnung, ob das Absicht war.
http://www.qrp.pops.net/cascoder1.asp

>> Der Spannungsabfall an R5 beträgt von 0-40°C 112-183mV
> Kühlschrank-nach-Backofen-Messung oder Simulation?
Nur simuliert, aber das Ergebnis ist plausibel.

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

B e r n d W. schrieb:
> Bei der BB104 handelt es sich um eine Doppel-Varicap. Die obere Diode
> wird sich selber so weit vorspannen, bis die Halbwelle in
> Durchflußrichtung sie gerade noch ganz kurz leitend macht

Das spräche für einen Designfehler welcher mit einem hochohmigen R von 
Anode1 zu Anode2 an d1 zu Beheben währe die güte von C würde zwar 
geringfügig beeinflusst aber bei der Schaltung viele das wohl nicht ins 
Gewicht?

von KLS (Gast)


Lesenswert?

Habe noch mal eingehend das TT-Audion auf Temperaturdrift geprüft:

-die LED und ihr NP0-Kollege sind unschuldig

-die Hauptverursacher der Drift sind der RK-T (J2!?) und die beiden 
Keramiktrimmer für die Bereichseinstellungen der beiden internen 
Audionbänder

-vermutlich tragen noch andere Bauteile untergeordnet zu der Drift bei

-in den ersten fünf Minuten nach dem Einschalten steigt die f monoton 
an. Dann fällt und steigt sie abwechselnd in einem relativ engen 
f-Bereich.




> Diese 0 bis 18MHz-Schaltung wirkt auf mich irgendwie verlockend.
> ...und zu guter letzt statt des
> Keramikfilters ein Ladderfilter (!!!), könnte es ein ganz
> interessantes Projekt werden.

Läßt sich so ein Ladderfilter um die 45MHz eigentlich gut aufbauen? 
Weiter oben steht etwas von einer oberen Grenze für Grundtonquarze...




@Bernd
Arbeitest du z.Zt. an einem speziellen HF-Projekt und wenn ja, was?

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> Schon bei der geringsten Vorspannung kommt hinten ein DC-Signal raus,
> das läßt sich nicht vermeiden.

Warum kommt bei schwabys Schaltung eine DC raus? Man müsste doch mit dem 
Poti so abgleichen können, dass grade keine DC durchkommt?!?



> Die Schaltung hat einen Offset, welcher bei jedem Messwert subtrahiert
> werden muß.
>
> Eine weitere Möglichkeit wäre, die zweite Diode in die Gegenkopplung
> eines OPs zu schalten. Dieser regelt die Vorspannung der zweiten Diode
> so nach bis eine identische Ausgangsspannung erreicht wird. Manche
> Powermeter geben sogar eine Wechselspannung vor und lassen diese
> ebenfalls gleichrichten. Der Regelkreis vergleicht das Ausgangssignal
> der beiden Gleichrichter.

Da hast du auf jeden Fall mal wieder (!) eine coole Schaltung 
ausgetüftelt!

Vor allem die Idee mit dem Operationsverstärker gefällt mir!

Wie funktioniert die T-Kompensation mit der zweiten Diode, das sehe ich 
noch nicht?

Das mit dem Offset ist eigentlich nicht tragisch, wenn man ohnehin mit 
einem Tabellenkalkulator arbeitet.

von B e r n d W. (smiley46)


Lesenswert?

> in den ersten fünf Minuten nach dem Einschalten steigt
> die f monoton an. Dann fällt und steigt sie abwechselnd
> in einem relativ engen f-Bereich.
Gibt es eine extra Drift, wenn Du mit der Hand ein wenig Wind machst? 
Dann könnte es helfen, die empfindlichen Teile nah anzuordnen,  und 
gegen Luftzug zuschützen.

> Läßt sich so ein Ladderfilter um die 45MHz eigentlich gut aufbauen?
Wie hier schon erwähnt, wird die Bandbreite recht schmal. Möglicherweise 
reicht es für SSB gerade noch aus.

http://fa-nwt.akadns.de/blogs/media/blogs/dj6ev/quarzfilter/Quarzfilter_Rev2.pdf

Momentan hätte ich keine Möglichkeit, die Quarze auszumessen. Es gibt 
aber fertige Quarzfilter. Die Bezeichnung lautet 45M15B. Leider beträgt 
die Bandbreite 7,5kHz.

> Warum kommt bei schwabys Schaltung eine DC raus?
Weil die Dioden nicht schlagartig bei der Schwellspannung leitend 
werden, sondern schon weite drunter. Es sit ein Gleichstrompfad da, also 
kommt hinten auch was raus. Es sei denn das Poti steht auf Null, aber 
dann gibt es auch keine Vorspannung.

> eine coole Schaltung ausgetüftelt!
> Vor allem die Idee mit dem Operationsverstärker gefällt mir!
Dise Ideen sind nicht von mir. Es gibt auch noch logarithmische 
Verstärker. Damit könnte wieder ein lineares Signal erzeugt werden. Aber 
es wird nur eine Größenordnung genauer, dann ist irgendwann auch Schluß. 
Es macht mehr Sinn, die HF zu verstärken (*10, *100, *1000) und ein 
ausreichend starkes Signal gleichzurichten.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Warum kommt bei schwabys Schaltung eine DC raus?
> Weil die Dioden nicht schlagartig bei der Schwellspannung leitend
> werden, sondern schon weite drunter. Es sit ein Gleichstrompfad da, also
> kommt hinten auch was raus. Es sei denn das Poti steht auf Null, aber
> dann gibt es auch keine Vorspannung.

Habe es eben mit Krokoklemmen ausprobiert, tatsächlich, die kleinste 
Potiverstellung weg von 0V bringt Gleichstrom.

Wenn man sich die IU-Kennlinie einer "normalen" Ge-Diode vor Augen hält, 
müsste man das Offset so einstellen, dass an der Anode ca. 300mV 
abfallen, um in einen halbwegs linearen Messbereich zu kommen?
(wobei eine Schottky-D sicher besser wäre)


> Es macht mehr Sinn, die HF zu verstärken (*10, *100, *1000) und ein
> ausreichend starkes Signal gleichzurichten.

Es gibt ja diese Transistor-Demodulatoren, in deinem TT-Audion ist auch 
so einer. Sowas in Emitterschaltung könnte vielleicht ebenfalls ganz 
brauchbar sein (eventuell noch ein FET davor zur Erhöhung von Ri).

von B e r n d W. (smiley46)


Lesenswert?

> Es gibt ja diese Transistor-Demodulatoren
Der kann nur AM demodulieren. Bei einem konstanten HF-Signal geht er 
nach kurzer Zeit zurück auf Ruhestellung.

Schau mal auf diese Seite:
http://www.agder.net/la8ak/m2.htm

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Es gibt ja diese Transistor-Demodulatoren
> Der kann nur AM demodulieren.

Hätte gedacht, dass er auch als so eine Art vorgespannte Diode fungiert 
und damit Einweg-gleichrichtet (mit Offset)



> Schau mal auf diese Seite:
> http://www.agder.net/la8ak/m2.htm

Das sieht ja recht interessant aus:

http://www.agder.net/la8ak/images/2a12.gif



Kannst du eventuell die .xls-Datei zu der Grafik "Filter2.gif" als 
Beispieldatei posten?
Versuche mich grade am Open Office Calc...

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> die .xls-Datei zu der Grafik "Filter2.gif" als Beispieldatei
Das ist die 3. Kurve. Die Anderen hatte ich nicht gespeichert.

von KLS (Gast)


Lesenswert?

Merci!

B e r n d W. schrieb:
> NE602 Oszillator, Double Balanced Mixer, Verstärker 17dB
> Ideal als Produktdetektor geeignet, wird häufig benutzt
>
> M810 (IAM81008) 5 GHz Double Balanced Mixer/IF Amp 8dB
> Vermutlich als Produktdetektor geeignet mit 2 optionalen Kondensatoren,
> benötigt aber einen externen BFO, SO-8 Gehäuse

Ein Produktdetektor ist ein Festfrequenz-Direktmischer, der auf der ZF 
schwingt, wenn ich das richtig sehe!?

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

no no no

falscher schluss

von Winfried J. (Firma: Nisch-Aufzüge) (winne) Benutzerseite


Lesenswert?

http://www.mydarc.de/dh2mic/afu-kurs/pdffiles/modulation.pdf

Eine gute Übersicht.

Auf Seite 16 erscheint der Produktdetektor.


;-]

von KLS (Gast)


Lesenswert?

Winfried J. schrieb:
> no no no
>
> falscher schluss

> Auf Seite 16 erscheint der Produktdetektor.

Für mich riecht das aber nach wie vor schwer nach Direktmischer!


Auf jeden Fall interessantes PDF! Es zeigt auch noch mal schön den 
Unterschied zwischen USB und LSB!

von B e r n d W. (smiley46)


Lesenswert?

Definitionsversuch:
Ein Produktdetektor ist ein Mischer, dem zwei Signale zugeführt werden, 
ZF und BFO. Schwingt der BFO auf der richtigen Frequenz, wird das 
ursprüngliche NF-Signal wieder hergestellt.

@Winfried
Umgekehrt gibt es aber DC-Receiver, die speziell für 9 oder 10.7 MHz 
lieferbar sind zum Zweck der Demodulation und zur Anzeige eines kleinen 
Frequenzabschnitts als Wasserfall-Spektrum.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> Definitionsversuch:
> Ein Produktdetektor ist ein Mischer, dem zwei Signale zugeführt werden,
> ZF und BFO. Schwingt der BFO auf der richtigen Frequenz, wird das
> ursprüngliche NF-Signal wieder hergestellt.

Für LSB/USB dann auf der jeweiligen Trägerfrequenz.


Das mit dem Produktdetektor klingt doch gut und ist auf Dauer sicher 
besser als ein Audiondetektor.

Ich vermute, dass am Ausgang des Produktdetektors ein Tiefpass 
angebracht sein sollte (für ca. 3kHz).


Frage:
wenn ich zu meinem Ladderfilter einen passenden Produktdetektor für LSB 
und USB aufbauen will, brauche ich ja zwei Oszillatorfrequenzen.
Könnte man diese mit "frequenzgezogenen" 3,579545-Quarzen aufbauen (also 
ein Oszillator, bei dem jeweils zwischen zwei Quarzen mit "Ziehgliedern" 
umgeschaltet wird)?

Das Filter ist ca. 2,4Khz breit, also müsste das eine Quarz mit Cs ca. 
1,2kHz unterhalb der Mittenfrequenz des Filters schwingen und das zweite 
Quarz mit Ls um den selben Betrag oberhalb.
Ist letzteres überhaupt praktikabel?


Dann noch eine andere Frage: eigentlich müsste sich mit den 
verbleibenden Quarzen ein zweites, schmales Ladderfilter für CW aufbauen 
lassen, wenn ich das richtig sehe?! :-)

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Ich vermute, dass am Ausgang des Produktdetektors ein Tiefpass
> angebracht sein sollte (für ca. 3kHz)
Ja, das entfernt die Summe (7MHz) und bei einer Grenzfrequenz von 3kHz 
entfernt es störendes Rauschen.

> Filter ist ca. 2,4Khz breit, also müsste das eine Quarz mit
> Cs ca. 1,2kHz unterhalb der Mittenfrequenz des Filters schwingen
1,2kHz unterhalb/oberhalb der Mittenfrequenz befindet sich der Rand der 
Durchlasskurve. Der BFO muß nochmal mit 200-300Hz Abstand vom 
Durchlassbereich des Filters schwingen. Das kommt dann auf die 
Klangvorlieben an, ist also Geschacksache. Das sind dann 1,4 - 1,5 Khz 
von der Mitte.

Soll der BFO oberhalb schwingen, also für LSB, muß Cz relativ klein sein 
~10pF. Unterhalb für USB wird die tiefste Frequenz mit Quarz direkt auf 
GND erreicht. Schwingt er dann noch zu hoch, kann eine Drossel 10-20µH 
in Reihe probiert werden.

>ein zweites, schmales Ladderfilter für CW
Ja, das CW-Filter funktioniert sogar besser, es sollten 4-5 Quarze 
reichen. Simulier mal mit Kondensatoren von 120 und 150pF. Leider wird 
der Innenwiderstand zwischen 50 und 100 Ohm liegen. Die 
Impedanzanpassung ist bei CW nicht so kritisch.

von KLS (Gast)


Lesenswert?

Hallo Bernd,

Danke für die Skizze!


B e r n d W. schrieb:
> Leider wird
> der Innenwiderstand zwischen 50 und 100 Ohm liegen.

Warum? Wegen der größeren Cs?


> Der BFO muß nochmal mit 200-300Hz Abstand vom
> Durchlassbereich des Filters schwingen. Das kommt dann auf die
> Klangvorlieben an, ist also Geschacksache. Das sind dann 1,4 - 1,5 Khz
> von der Mitte.

Das muss man also ausprobieren...


Wenn ich es richtig verstehe, muss der BFO für den Produktdetektor nur 
ein mal abgestimmt werden, braucht also keine Potis nach "außen"!? Gilt 
das auch für dessen Amplitude?


Wie schaltet man praktischerweise zwischen dem SSB- und dem 
CW-Ladderfilter um?
Ein- und Ausgänge der Filter sind ja ca. 10cm voneinander entfernt (und 
das ist ja auch gut so! ;-))

von KLS (Gast)


Lesenswert?

Ps: was passiert eigentlich, wenn die Mittenfrequenz zwischen CW- und 
SSB-Filter leich abweicht?
Ich nehme an, das macht nichts, weil man es über den VFO vom Mischer 
ausgleichen kann.
Nur die Mittenfrequenz vom SSB-Filter muss in der Mitte zw. den beiden 
USB/LSB-BFO-Frequenzen liegen, stimmts!? ;-)

von B e r n d W. (smiley46)


Lesenswert?

> wenn die Mittenfrequenz zwischen CW- und SSB-Filter leich abweicht?

Die wird stark abweichen. Die Filter sind normalerweise automatisch 
linksbündig angeordnet. Die linken Filterflanken berühren sich.

Bei einer Bandbreite von 600Hz liegt die Mitte des CW-Filters 600Hz vom 
BFO entfernt. Dann hört es sich gut an. Jetzt kann die Empfangsfrequenz 
ohne große Lautstärkeänderung noch um +- 100 Hz verstellt werden. Ich 
persönlich empfinde 700Hz als angenehmer.

Falls das so nicht passt, kann man das CW-Filter noch ziehen. Dazu 
benötigt jeder Quarz einen Serienkondensator.

von B e r n d W. (smiley46)


Lesenswert?

>> Innenwiderstand zwischen 50 und 100 Ohm
> Warum? Wegen der größeren Cs?
Ja.

> der BFO nur ein mal abgestimmt werden,
> braucht also keine Potis nach "außen"!?
Der BFO wird einmalig fest eingestellt, kleine Anpassungen der Tonhöhe 
geschehen über die Empfangsfrequenz.

> Gilt das auch für dessen Amplitude?
Nein, es wird z.B. ein NE602 verwendet, dabei ist die Amplitude des 
Oszillators fest vorgegeben. Von Außen würde man 300mV in Pin6 
einspeisen.

> Wie schaltet man praktischerweise zwischen dem SSB- und dem
> CW-Ladderfilter um?
Am Besten geht das über ein Relais mit 2xUm Kontakten. Die unbenutzte 
Seite sollte an wenigstens einer Stelle auf GND gelegt werden. Es geht 
auch ein manueller Schalter 2xUm oder mit Schaltdioden.

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

So würde erst mal mein Vorschalg aussehen. Beide Filter laufen parallel 
an den Ausgängen des NE602. Nach dem Filter wird eine Seite auf den 15dB 
Verstärker geschaltet, die andere auf GND gelegt. Damit sollte es kein 
Übersprechen geben.

Das niederohmige CW-Filter wird mit einer Kollektorschaltung angepasst, 
das SSB-Filter mit einem Schwingkreis, aber auf diese Weise sind die 
Pegel der beiden Signalpfade zumindest in der Simulation identisch. 
Falls später mal ein S-Meter dran soll, wäre diese ein schöner 
Nebeneffekt.

von KLS (Gast)


Lesenswert?

Hallo Bernd!

B e r n d W. schrieb:
>> Wie schaltet man praktischerweise zwischen dem SSB- und dem
>> CW-Ladderfilter um?
> Am Besten geht das über ein Relais mit 2xUm Kontakten. Die unbenutzte
> Seite sollte an wenigstens einer Stelle auf GND gelegt werden. Es geht
> auch ein manueller Schalter 2xUm oder mit Schaltdioden.

Schaltdioden müsste ich sogar vorrätig haben. Muss mal die 
Typenbezeichnung raussuchen.


> So würde erst mal mein Vorschalg aussehen. Beide Filter laufen parallel
> an den Ausgängen des NE602. Nach dem Filter wird eine Seite auf den 15dB
> Verstärker geschaltet, die andere auf GND gelegt. Damit sollte es kein
> Übersprechen geben.

Sieht sehr gut aus! :-)


> Das niederohmige CW-Filter wird mit einer Kollektorschaltung angepasst,
> das SSB-Filter mit einem Schwingkreis, aber auf diese Weise sind die
> Pegel der beiden Signalpfade zumindest in der Simulation identisch.
> Falls später mal ein S-Meter dran soll, wäre diese ein schöner
> Nebeneffekt.

Das S-Meter hinter E von Q1?

Wie die Spule den Pegel anpasst, verstehe ich noch nicht so richtig. 
Arbeitet sie als XL (also der Wechselstrom-R bei ZF als Anpass-R)?
Den Schwingkreis sehe ich auch nicht so richtig, der Spule folgen zwei 
Cs, einer gegen Masse => Serienschwingkreis/Saugkreis!?


Bin grade dabei, eine Art Platinenlayout zu erstellen (also welche Teile 
wo auf der Cu-Fläche untergebracht werden).

Irgendwie hatte ich es so verstanden, dass man die beiden 
Vorfilterausgänge fest am Eingang vom NE602 installiert lassen kann und 
nur die Antenne zwischen den beiden Eingängen umschaltet, wobei der 
jeweils nicht benutzte Filtereingang auf Masse gelegt wird.

Dann hat man aber das Problem, dass die ausgangsseitigen Ls und Cs von 
den beiden Vorfiltern parallel liegen...

von B e r n d W. (smiley46)


Lesenswert?

> Das S-Meter hinter E von Q1?
Das S-Meter-Signal wird bei einfachen Empfängern meist aus der AGC 
gewonnen.

> ich hatte es so verstanden, dass die beiden Vorfilter-
> ausgänge fest am Eingang vom NE602 installiert bleiben
> Dann hat man aber das Problem, dass die ausgangsseitigen Ls und Cs von
> den beiden Vorfiltern parallel liegen...

Der NE602 hat 2 Differenzeingänge und 2 Differenzausgänge, die sich nur 
wenig gegenseitig beeinflussen. Wird ein Eingang nicht benutzt, soll er 
mit einem C auf GND gelegt werden. Für die Eingangsfrequenz liegt 
jeweils der andere Eingang über den Schwingkreis auf GND.

Weil jedoch die unbenutzte Seite auf der Spiegelfrequenz liegt, könnte 
das Rauschsignal des 1,5k Filter-Innenwiderstandes mit auf die ZF 
gemischt werden. Da aber das Nutzsignal durch das Vorfilter schon ca. 
10dB angehoben wird, macht sich dieses Rauschen vermutlich nicht 
bemerkbar. Dies kannst Du nach dem Aufbau kontrollieren. Wenn der 
unbenutzte Eingang über einen C auf GND gelegt wird sollte das 
Nutzsignal nicht stärker und bei abgezogener Antenne das Rauschen kaum 
leiser werden.

> Wie die Spule den Pegel anpasst
L2 und C19 arbeiten aus der Sicht des NE602 in Serienresonanz. Der Strom 
ist in der Serienschaltung konstant, aber in der Mitte die Spannung 
höher.

Man kann mit unterschiedlichen Bauteilpaaren die Resonanz bei der selben 
Frequenz erreichen:

68µ und 18pF, XL=Xc=1,5k
82µ und 15pF, XL=Xc=1,8k
100µ und 12pF, XL=Xc=2,2k
...

Jede dieser Kombinationen transformiert die 1,5k des NE602 auf einen 
anderen Ausgangswiderstand für das Filter. Ich habe die Kombination mit 
der geringsten Welligkeit im Simulator verwendet. Die Resonanz wird auf 
beiden Seiten stark bedämpft und ist dadurch sehr breitbandig.

> auch ein manueller Schalter 2xUm oder mit Schaltdioden.
> Schaltdioden müsste ich sogar vorrätig haben
Es sollte immer mit zwei Elementen geschaltet werde: Eines unterbricht, 
das zweite legt auf GND. Eines alleine schafft 30-50 dB. Die Frequenz 
hat da einen großen Einfluß und bei dir liegt die ZF nicht so hoch.

Eventuell kannst Du Dich mal umschauen, wie das bei ähnlichen Receivern 
gemacht wird. Jeder hat da ein anderes Konzept.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Das S-Meter hinter E von Q1?
> Das S-Meter-Signal wird bei einfachen Empfängern meist aus der AGC
> gewonnen.

Sofern vorhanden ;-)


> Der NE602 hat 2 Differenzeingänge und 2 Differenzausgänge, die sich nur
> wenig gegenseitig beeinflussen. Wird ein Eingang nicht benutzt, soll er
> mit einem C auf GND gelegt werden. Für die Eingangsfrequenz liegt
> jeweils der andere Eingang über den Schwingkreis auf GND.

Ansich eine kluge Idee! Rein irrational würde ich aber doch lieber etwas 
zum beidseitigen Umschalten verwenden.

Ich überlege, einfach mehrere 2x-Umschalter zu verwenden, jeweils einen 
an den filtereingängen und einen an den Filterausgängen. Man muss dann 
zwar immer "doppelt" umschalten, aber dafür ist die Lösung einfach und 
effektiv.


>> auch ein manueller Schalter 2xUm oder mit Schaltdioden.
>> Schaltdioden müsste ich sogar vorrätig haben
> Es sollte immer mit zwei Elementen geschaltet werde: Eines unterbricht,
> das zweite legt auf GND.

Dieses Konzept ist auf jeden Fall sehr vertrauenserweckend! HF "fließt" 
ja gerne mal über die Platine, wie ich mittlerweile gemerkt habe.

Habe noch BA479G PIN-Dioden. Lt. Datenblatt geeignet für 10MHz bis 
100MHz. Bei 100MHz haben sie wohl 50R (und unter 100MHz wahrscheinlich 
einen entsprechend größeren R).
Was ich im Datenblatt nicht verstehe, ist, ob es eine Minimalspannung 
gibt, die diese Dioden benötigen, um voll durchzuschalten.


>> Wie die Spule den Pegel anpasst
> L2 und C19 arbeiten aus der Sicht des NE602 in Serienresonanz. Der Strom
> ist in der Serienschaltung konstant, aber in der Mitte die Spannung
> höher.

Wo liegt denn dann der Vorteil gegenüber einem rein ohmschen Widerstand?



Werde am WE das CW-Filter aufbauen und durchmessen.

Mir kam noch die Idee, das CW-Filter einfach hinter das SSB-Filter zu 
schalten. Wenn nur das SSB-Filter aktiv sein soll, wird das CW-Filter 
einfach mit einem Schalter überbrückt.
Die Frage ist nur, ob die Eigendämpfung in der Praxis dann nicht zu groß 
wird.
Was hältst du von der Idee?

Viele Grüße

von B e r n d W. (smiley46)


Lesenswert?

> Habe noch BA479G PIN-Dioden. Was ich im Datenblatt nicht
> verstehe, ist, ob es eine Minimalspannung gibt, die diese
> Dioden benötigen, um voll durchzuschalten.

Es muß ein Strom in Durchlassrichtung fließen. Wenn Du 10 mV an 50 Ohm 
als maximales Signal erwartest, dann I = U / R = 0.01 / 50 = 2mA

>>> Wie die Spule den Pegel anpasst
>> L2 und C19 arbeiten aus der Sicht des NE602 in Serienresonanz.
> Wo liegt denn der Vorteil gegenüber einem rein ohmschen Widerstand?
Der Schwingkreis transformiert, der Widerstand vernichtet Energie (6dB).

> Werde am WE das CW-Filter aufbauen und durchmessen.
Viel Spass, das ist die Kür.


>> das CW-Filter einfach hinter das SSB-Filter zu schalten.
> Was hältst du von der Idee?
Finde ich nicht so gut. Du müßtest die Filter auch aneinander anpassen. 
Quarzfilter klingeln gerne. Das kann soweit gehen, daß die Erkennung von 
CW Signalen schwierig wird. Also nicht unnötig viele Quarze 
hinereinander.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
>> Werde am WE das CW-Filter aufbauen und durchmessen.
> Viel Spass, das ist die Kür.

Habe das CW-Filter mittlerweile Pi mal Daumen vermessen, es dürfte so um 
die 500Hz breit sein.

Heute ist der AD9850 eingetroffen. Wenn ich ihn aktiviert bekommen habe, 
wird das Filter noch mal sauber vermessen (das SSB-Filter auch).

Habe dazu diesen Beitrag angeleiert:
Beitrag "AD9850-Modul mit AtMega steuern"
Bisher aber ohne Resonanz.

Wie steuerst du den AD9850/51 an?


> Quarzfilter klingeln gerne.

Hatte ich schon irgendwo gelesen. Wie auch immer das Klingeln in der 
Praxis klingen mag, es klingt nicht gut! ;-)


Ach so, habe ein paar T80-2 Ringkerne besorgt (1MHz - 30MHz). Frage 
mich, ob man mit zwei Kernen von dieser Sorte einen großsignalfesten 
Mischer aufbauen kann (einen Dioden-Ringmischer).
Kann man ungefähr sagen, welche(n) Strom/Spannung (Leistung) so ein 
Ringmischer benötigt?

Für den Produktdetektor würde ich weiterhin einen SA602 einsetzen, hier 
(hinter dem Ladderfilter) spielt die Großsignalfestigkeit ja vermutlich 
keine Rolle mehr!?

von Uwe (de0508)


Lesenswert?

Hallo,

KLS schrieb:
> Heute ist der AD9850 eingetroffen. Wenn ich ihn aktiviert bekommen habe,
> wird das Filter noch mal sauber vermessen (das SSB-Filter auch).
>
> Habe dazu diesen Beitrag angeleiert:
> Beitrag "AD9850-Modul mit AtMega steuern"
> Bisher aber ohne Resonanz.
>
> Wie steuerst du den AD9850/51 an?

dann hast Du nicht im QRPForum nachgesehen, oder ?

Im Beitrag
Beitrag "Re: Ladderfilter berechnen und bauen"
hatte ich auf das Forum verwiesen und wenn dort etwas vom AD9834 steht, 
sollte man auch die Idee habe AD9851 ein zu geben ?

http://www.qrpforum.de/index.php?page=Thread&threadID=6954

Sonst hilft Dir nur das Datenblatt zu lesen und die paar Routinen selbst 
zu schreiben.

Mein Vorschlag ist ja weiterhin ein Frontend für die Nutzung der FA-NWT 
Software zu benutzen.

http://www.dl4jal.eu/hfm9.htm

Das Frontend für den Analog Device DDS, ich nenne sie Firmware, verhält 
sich dann als FA-NWT Generator !

Mit ein AD8307 als log. Detektor hat man dann einen kleinen FA-NWT !

http://www.rtk-service.de/shop/sg_Leiterplatten.htm?ID=2169
http://www.rtk-service.de/shop/sg_Bausatz.htm?ID=2177
http://www.rtk-service.de/shop/sg_Bausatz.htm?ID=2176

Edit1:
Bei deinem AD9850 muss warheinlich noch ein anderes TP rein und eine 
Ausgangstreiber AD8000/ AD8008 eingebaut werden.
Man sollte einen Ausganspegel von +4dBm - +10dBm anstreben.

von B e r n d W. (smiley46)


Lesenswert?

> ein paar T80-2 Ringkerne besorgt (1MHz - 30MHz).
> ob man mit zwei Kernen von dieser Sorte einen
> großsignalfesten Dioden-Ringmischer aufbauen kann?

Ja klar, das geht gut. Nur die T80-Kerne, sind die nicht schon ein 
bisschen groß? Ich hätte eher zu einem T37 tendiert. Als einfache Diode 
könnte ich die Schottkydiode BAT41 empfehlen, sehr robust, hält 100V aus 
und hat doch eine relativ geringe Sperrschicht-Kapazität.

> wieviel Signal so ein Ringmischer benötigt?
Dioden-Ringmischer benötigen in der Regel ein Oszillatorsignal in der 
Größenordnung ihrer IP3-Festigkeit. Ein 7dbm Mischer benötigt also auch 
ungefähr 7dBm. Dies entspricht den typischen einfachen Mischern wie: 
IE500 usw. Die 7dBm entsprechen 0.5 Volt eff.

Obwohl 7dBm Mischer noch lange nicht das Ende der Fahnenstange bedeuten, 
sind sie schon um Längen großsignalfester als die NE602. Weiter 
verbessern kann man den Mischer durch eine Reihenschaltung von jeweils 2 
Dioden. Dann werden 14dBm an Oszillatorsignal fällig, was dann 25mW oder 
1.2 Volt eff. entspricht.

Dir ist schon klar,
daß Du damit noch ein paar weitere Baustellen aufmachst:

- Du benötigst einen ebenso großsignalfesten Vorverstärker,
  der den Mischer von der Antenne isoliert. Die Oszillator-
  frequenz muß von der Antenne ferngehalten werden.

- Der Mischer muß von allen 3 Seiten
  breitbandig mit 50 Ohm abgeschlossen werden.

- Zwischen Mischer und Quarzfilter wird ein Diplexer notwendig

- Das Quarzfilter will am Eingang auch eine korrekte Impedanz im
  Durchlassbereich "sehen". Dadurch müssen die 50 Ohm des Mixers
  auf die 2k des Filters gebracht werden.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Wenn man das liest, wundert man sich warum die ganzen UKW-Radios mit 
Gilbert-Varianten überhaupt funzen können. Und erst die ganzen Handies! 
Alles ohne Ringmischer!!

Ob da die Antenne nicht einfach zu fett ist?

von B e r n d W. (smiley46)


Lesenswert?

> Ob da die Antenne nicht einfach zu fett ist?

EA3JE to USA:
http://www.youtube.com/watch?v=v3cEz6IOnAQ#!

Falls also Joe dir in ganz Europa die Ohren wegbrüllt, möchtest Du 
vielleicht auch den Japaner hören, mit dem er gerade kommuniziert. Ohne 
gute Antenne überm lokalen Störnebel geht das normalerweise nicht. Diese 
Antenne erfordert dann aber einen großsignalfesten Empfänger.

Es nützt auch nichts, nur den Mischer zu verbessern, der Empfänger ist 
nur so gut, wie das schwächste Glied in seiner Kette. Oder wer A sagt 
muß auch B sagen! Der NE602 wäre empfindlich genug, den Japaner zu 
hören, aber er könnte die Summe der restlichen anliegenden Signale nicht 
verkraften.

Handies dagegen müssen bei Weitem nicht diesen Dynamikumfang verkraften. 
Die Gegenstation ist meist in Sichtweite, es wird auf die letzten 20dB 
der theoretisch möglichen Verstärkung verzichtet, auch aus 
Kostengründen. Alle relevanten Signale sind in etwa gleich stark.

von gerri (Gast)


Lesenswert?

B e r n d W. schrieb:
> Diese wären IMHO eher als Frontend geeignet, da ja erst ein Mischer
> angeordnet ist und dann die ZF, dann ein AM-Demodulator mit AGC. Der
> TCA440 wurde immer gerne für AFU-Zwecke benutzt, es sollten also
> genügend Schaltungsvorschläge existieren. Man müßte die AGC verwenden,
> aber die ZF zu Weiterverarbeitung vor dem Demodulator auskoppeln.

Wobei TDA1572 und Konsorten meistens nur für eine ZF von 455KHz 
ausgelegt sind.

Vorne will man normalerweise eine möglichst hohe ZF zur 
Spiegelfrequenzunterdrückung.

Aus dieser Perspektive gesehen macht ihre Anwendung als Back-End hinter 
der ersten ZF für einen Doppelsuper schon Sinn.

(ich interpretiere dabei die Begriffe Front-End und Back-End so, dass 
das Front-End die Eingangsseite/Antennenseite des Empfängers ist)


Die Nachteile dabei hat Bernd ja schon genannt.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

B e r n d W. schrieb:
>> Ob da die Antenne nicht einfach zu fett ist?
>
> EA3JE to USA:
> http://www.youtube.com/watch?v=v3cEz6IOnAQ#!

Habe ich mir nicht angetan. Aber ich denke ich kenne seine 
Antennenanlage.


> Handies dagegen müssen bei Weitem nicht diesen Dynamikumfang verkraften.
> Die Gegenstation ist meist in Sichtweite, es wird auf die letzten 20dB
> der theoretisch möglichen Verstärkung verzichtet, auch aus
> Kostengründen. Alle relevanten Signale sind in etwa gleich stark.

Ja, hier liegt genau die Lösung! Die allermeisten Amateurfunker 
verstehen das aber nicht.

von Ralph B. (rberres)


Lesenswert?

Abdul K. schrieb:
> Ja, hier liegt genau die Lösung! Die allermeisten Amateurfunker
>
> verstehen das aber nicht.

Es werden aber immer mehr. Und die heute erhältlichen 
Kurzwellentransceiver sind was den Empfänger betrifft, um Welten besser, 
als vor 30 Jahren.
Insbesonders im intermodulationsfreien Dynamikbereich.

Ralph Berres

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Ein Direktsampler wenn der Frequenzbereich paßt. Eigentlich müßte er ja 
mittlerweile immer passen, denn in dem Bereich in dem es dafür noch 
keine Chips gibt, gilt ja optischer Horizont. Oder die 
Mischer-Schaltungen von Chris Trask.
Halte ich momentan für den richtigen Weg.

von KLS (Gast)


Lesenswert?

B e r n d W. schrieb:
> Ja klar, das geht gut. Nur die T80-Kerne, sind die nicht schon ein
> bisschen groß? Ich hätte eher zu einem T37 tendiert. Als einfache Diode
> könnte ich die Schottkydiode BAT41 empfehlen, sehr robust, hält 100V aus
> und hat doch eine relativ geringe Sperrschicht-Kapazität.

Hallo,

es geht, sie sind von der Größe noch relativ handzahm. ;-)
Alternativ hätte ich noch solche elliptisch geformten 
Doppelloch-Ringkerne.
T37 wird auf jeden Fall bei der nächsten Elektronikbestellung geordert.
Geht auch BAT43?


> Obwohl 7dBm Mischer noch lange nicht das Ende der Fahnenstange bedeuten,
> sind sie schon um Längen großsignalfester als die NE602. Weiter
> verbessern kann man den Mischer durch eine Reihenschaltung von jeweils 2
> Dioden. Dann werden 14dBm an Oszillatorsignal fällig, was dann 25mW oder
> 1.2 Volt eff. entspricht.

Kann man statt der beiden seriellen BAT41 auch eine 1N4148 verwenden? 
Oder hat sie eine zu hohe Eigenkapazität?


> Dir ist schon klar,
> daß Du damit noch ein paar weitere Baustellen aufmachst:

Das ist mir klar!


> - Du benötigst einen ebenso großsignalfesten Vorverstärker,
>   der den Mischer von der Antenne isoliert. Die Oszillator-
>   frequenz muß von der Antenne ferngehalten werden.

FET in Gain-Schaltung?


> - Der Mischer muß von allen 3 Seiten
>   breitbandig mit 50 Ohm abgeschlossen werden.

Also HF in, LO in  und ZF out?!

> - Zwischen Mischer und Quarzfilter wird ein Diplexer notwendig

Was schaltet der Diplexer an dieser Stelle?


> - Das Quarzfilter will am Eingang auch eine korrekte Impedanz im
>   Durchlassbereich "sehen". Dadurch müssen die 50 Ohm des Mixers
>   auf die 2k des Filters gebracht werden.

Könnte man ja mit einem Balun transformieren...


> Falls also Joe dir in ganz Europa die Ohren wegbrüllt, möchtest Du
> vielleicht auch den Japaner hören, mit dem er gerade kommuniziert. Ohne
> gute Antenne überm lokalen Störnebel geht das normalerweise nicht. Diese
> Antenne erfordert dann aber einen großsignalfesten Empfänger.

Nicht nur der.

Mein Weltempfänger ist eigentlich ein schönes Empfangsgerät, an eine 
Loop angeschlossen bringt er prima Ergebnisse auf allen AFU-Bändern... 
solange niemand besonders "laut" sendet. Wenn doch, liegt das halbe Band 
lahm.

Deshalb kam mir auch die Idee mit dem großsignalfesten Mischer. Dann 
hätte ich einen Empfänger, der dem Weltempfänger darin überlegen ist. 
Und man will sich ja schließlich verbessern!
:-)


Abdul K. schrieb:
> Oder die
> Mischer-Schaltungen von Chris Trask.

Habe ich mal gegoogelt und auch allerlei Schaltpläne unter dem Namen 
gefunden.
Kann man mit knappen Worten erklären, was das besondere daran ist und 
wie es funktioniert?


Wenn alles klappt, bekomme ich übers WE den HF-Generator mit AD9850 
fertig.
Damit müsste man ja eigentlich auch den LO für die grade angeführte 
Schaltung "simulieren" können...  :-)

von B e r n d W. (smiley46)


Lesenswert?

> Geht auch BAT43?
Die geht auch, aber die Bat41 ist ein bisschen besser. Die 
Sperrschichtkapazität der BAT43 bei -0.5 Volt ist schon recht hoch. Die 
normalen Dioden benötigen gegenüber den Schottkys ein doppelt so großes 
Oszillatorsignal.

Bat43    15 pF   5 ns
Bat41    3.5 pF  5 ns
Bat17    0.6 pF  1 GHz
HSMS2865 0.3 pF  5 Ghz

1N4148   1.5 pF  4 ns
1N4150   1.5 pF  2.5ns

> FET in Gain-Schaltung?
Falls Du Gateschaltung meinst, die hat einen niedrigen 
Eingangswiderstand und eine schlechte Entkopplung von der Antenne. Bei 
der Drainschaltung hättest Du eine kostenlose Verstärkung durch die 
hochohmige Ankopplung ans Vorfilter, einen niederohmigen Ausgang zum 
Mischer und eine gute Rückwärtsdämpfung.

> Was schaltet der Diplexer an dieser Stelle?
http://www.qsl.net/va3iul/Homebrew_RF_Circuit_Design_Ideas/Receiver_HF_SSB_CW_Superheterodine.gif

Der Diplexer läßt hier nur die ZF (1.5MHz) auf die folgende Stufe durch. 
Trotzdem muß der Mischer breitbandig 50 Ohm Last am Ausgang "sehen". Die 
einfachste Schaltung besteht aus einem 51 Ohm Widerstand und einem 
darauffolgenden JFet. Dann bekommt der Jfet aber zusätzlich ein Gemisch 
aus unerwünschten Frequenzen ab. Das Signal ist vor dem Quarzfilter noch 
recht breitbandig, denn es wird das komplette 40m-Band und eine Teil des 
41m BC-Bands runtergemischt und es entsteht leicht Kreuzmodulation. 
Besser wäre es, nach dem Diplexer eine Anpassung für beide Filter zu 
finden und erst hinterher verstärken.

> kam mir auch die Idee mit dem großsignalfesten Mischer
Jemand hat hier mal gesagt: Nach dem Empfänger ist vor dem Empfänger. An 
Deiner Stelle würde ich die Schaltung mit den zwei NE602 zu Ende 
bringen. Meist ist es so, wenn der HF-Regler nur 6dB zurückgedreht wird, 
ist der Empfang sauber. Nachdem der Superhet funktioniert, weißt Du 
schon, was Du später besser machen würdest. Was dich später stört, ist 
möglicherweise was anderes, als Du jetzt befürchtest.

> Wenn doch, liegt das halbe Band lahm.
Das hört sich für mich eher nach mangelnder Selektivität des ZF-Filters 
an.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

KLS schrieb:
> Abdul K. schrieb:
>> Oder die
>> Mischer-Schaltungen von Chris Trask.
>
> Habe ich mal gegoogelt und auch allerlei Schaltpläne unter dem Namen
> gefunden.
> Kann man mit knappen Worten erklären, was das besondere daran ist und
> wie es funktioniert?
>

Das besondere, hm, er checkte die offenen Technikquellen grundlegend auf 
Verbesserungspotential durch Kombination verschiedener Ideen, hat das 
nachvollziehbar veröffentlicht und teils auch praktisch aufgebaut und 
sogar für einige Sachen als quasi Bauanleitung veröffentlicht. Du weißt 
also auf was du dich einläßt. Seine Lösungen sind grundsätzlich 
praktisch orientiert, und auf das Minimum reduziert um eine bestimmte 
Leistungsklasse zu erreichen.

Was nützt dir ein tolles z.B. Agilent-Patent, wenn es nur als Patent 
dokumentiert ist? Ist es nutzbar, welche Parameter muß man drehen, sind 
kaufbare Bauelemente gemeint, wofür ist es genau, fehlen entscheidende 
kritische Punkte im Patent? Wenn du kommerziell orientiert, darfst es 
dann sowieso nicht ohne Lizenz einsetzen!
Warum er so wenig zitiert/referenziert wird, weiß ich nicht. Ist 
vielleicht eher ein 'Facebook-Problem'. Im Gegensatz zu Agilent kann man 
ihm auch mailen.

Ich habe sehr viel über Mixer gelesen. Also wenn dir ein SA602 nicht 
reicht und du auch nicht mit einem passiven Ringmischer glücklich bist, 
dann sind die Varianten vom Trask ein guter Mittelweg.

Rubiola sowie NIST sind auch noch gute Quellen in eher akademischer 
Richtung.

Grundlegend entscheidend sind einfach:
- Aufwand/Kosten
- Frequenzbereich
- Größe
Mit den drei Kriterien findet sich recht leicht die ideale Struktur.

Fürs Kurzwellenband ist sein simpler <recht aktueller> Schaltmischer 
sehr interessant. Und nicht so aufwändig wie seine Gilbert-Varianten. 
Die sehe ich eher in höheren Frequenzbereichen angesiedelt. Da wo es 
keine geeigneten Schalter momentan zu kaufen gibt. Drunter und mit mehr 
Aufwand die Direksampler (als ADC mit einem Kanal). Weniger Aufwand und 
eher PC orientiert gibts noch die IQ (hoher Frequenzbereich) bzw. 
Tayloe-Mixer (niedriger Frequenzbereich).

Ringmischer würde ich eher für den Bereich ab mehrere 100MHz ansiedeln. 
Die sind sozusagen am Aussterben.

Nicht zu vergessen, ist auch der Aufwand der Diplexer. Da kommt der 
Ringmischer ganz schlecht weg. Die anderen Technologien sind da weit 
toleranter!

In gewisser Hinsicht ist der olle SA602 eine kleine eierlegende 
Wollmilchsau. Er wird nur zu oft schlechtgemacht bzw. mangels Wissen an 
der falschen Baustelle eingesetzt.

Achja, endlos kann man dazu schreiben.

von B e r n d W. (smiley46)


Lesenswert?

Hallo Abdul

Falls man ohne Quadratur nur mischen möchte, sollte selbst ein 74HC4066 
noch gut geeignet sein. Welche Möglichkeiten gibt es als 
Übergang/Anpassung vom Schaltmischer zu den beiden Quarzfiltern. Das 
CW-Filter hat eine Impedanz von 450 Ohm und das SSB ca. 2,5k. Die 
herkömmliche Technik der Schaltmischer geht direkt auf ein 
RC-Glied/Tiefpass. Eventuell geht es mit einen JFet in Gateschaltung, 
mit dem Drain hochohmig aufs SSB-Filter und mit einem Sourcefolger aufs 
CW.

@KLS
Andere Möglichkeit, schau Dir mal den zweiten Mischer an:
http://www.agder.net/la8ak/12345/n16.htm

Möglicherweise könnte man mit 3 Wicklungen des Ausgangsübertragers auf 
450 Ohm kommen.

von KLS (Gast)


Lesenswert?

Ich danke Euch für die Postings!

B e r n d W. schrieb:
> Die
> normalen Dioden benötigen gegenüber den Schottkys ein doppelt so großes
> Oszillatorsignal.

Wird es dadurch auch doppelt so großsignalfest?

Werde die Tage einen Gurt BAT41 ans Lager legen.

> 1N4148   1.5 pF  4 ns
> 1N4150   1.5 pF  2.5ns

Rein von der Kapazität und der Schaltzeit her sind die ja auch nicht 
sooo schlecht :-)


>> FET in Gain-Schaltung?
> Falls Du Gateschaltung meinst, die hat einen niedrigen
> Eingangswiderstand und eine schlechte Entkopplung von der Antenne.

Selbstverständlich! Ich meine natürlich die Gate-Schaltung!
Dachte immer, sie würde Eingang und Ausgang exzellent entkoppeln, 
deshalb auch der Einsatz im TT-Audion als Vorstufe.


>> Was schaltet der Diplexer an dieser Stelle?
> http://www.qsl.net/va3iul/Homebrew_RF_Circuit_Desi...

Interessanter Schaltplan!
So ein Diplexer scheint nicht unbedingt zu den einfachsten Bausstufen zu 
gehören...


>> kam mir auch die Idee mit dem großsignalfesten Mischer
> Jemand hat hier mal gesagt: Nach dem Empfänger ist vor dem Empfänger. An
> Deiner Stelle würde ich die Schaltung mit den zwei NE602 zu Ende
> bringen. Meist ist es so, wenn der HF-Regler nur 6dB zurückgedreht wird,
> ist der Empfang sauber.

Das ist wohl wahr!
Außerdem muss man (in dem Fall ich) bedenken, dass die Ladderfilter mit 
ihrer f im 80m-Band nicht wirklich optimal sind.


Abdul K. schrieb:
> Fürs Kurzwellenband ist sein simpler <recht aktueller> Schaltmischer
> sehr interessant.

So etwas findet man oft mit einem 4066 realisiert im Netz. Dieser 
Baustein muss ganz schön flink sein.
Bei welcher f liegt ungefähr die Grenze für diesen Baustein als 
Schaltmischer, falls das hier jemand weiß?


> Das besondere, hm, er checkte die offenen Technikquellen grundlegend auf
> Verbesserungspotential durch Kombination verschiedener Ideen, hat das
> nachvollziehbar veröffentlicht und teils auch praktisch aufgebaut und
> sogar für einige Sachen als quasi Bauanleitung veröffentlicht. Du weißt
> also auf was du dich einläßt. Seine Lösungen sind grundsätzlich
> praktisch orientiert, und auf das Minimum reduziert um eine bestimmte
> Leistungsklasse zu erreichen.

Hier ist schon mal seine HP:
http://home.earthlink.net/~christrask/

Beziehst du dich mit dem Geschriebenen auf sein PDF
http://home.earthlink.net/~christrask/Mixer%20Diplexers.pdf
?


> Welche Möglichkeiten gibt es als
> Übergang/Anpassung vom Schaltmischer zu den beiden Quarzfiltern. Das
> CW-Filter hat eine Impedanz von 450 Ohm und das SSB ca. 2,5k.

Welche Nachteile würden sich ergeben, wenn man das CW-Filter ebenfalls 
hochohmiger abschließen würde?

Viele Grüße!

von B e r n d W. (smiley46)


Angehängte Dateien:

Lesenswert?

> Rein von der Kapazität und der Schaltzeit her sind die
> ja auch nicht sooo schlecht :-)

Die 1N4148 ist wahrscheinlich beim 1dB Kompressionspunkt besser, ähnlich 
wie zwei in Reihe geschaltete Schottkys. Bei den Schottkys halbiert sich 
aber durch die Reihenschaltung die Kapazität. Die 
Schperrschicht-Kapazität bewirkt ein Übersprechen zu einem Zeitpunkt, 
wenn die Diode eigentlich sperren soll.

>> Die Gateschaltung hat einen niedrigen Eingangswiderstand
>> und eine schlechte Entkopplung von der Antenne.
> Dachte immer, sie würde Eingang und Ausgang exzellent entkoppeln,
> deshalb auch der Einsatz im TT-Audion als Vorstufe.

Durch den hohen Sourcewiderstand gegen GND hat die Vorstufe des TTA 
einen sehr hohen Ausgangswiderstand (20-30 kOhm). Vorne geht der 
Übertrager mit 450 Ohm rein. Das Wirkt als Spannungteiler. Aber beim 
JFet mit Gate als Eingang wirkt nur die Gatekapazität zurück, vor allem 
bei niedrigen Frequenzen isoliert das sehr gut.

> So ein Diplexer scheint nicht unbedingt zu den
> einfachsten Bausstufen zu gehören...

Die Bandbreiten der Schwingkreise müssen zueinander passen. Dann bleibt 
die Eingangsimpedanz linear.

> die Ladderfilter mit 3.579MHz im 80m-Band nicht wirklich optimal

Ob mit oder ohne NE602, das gibt ein schönes Gerät. Es ist aber nicht 
die Eierlegende...

> So etwas findet man oft mit einem 4066 realisiert im Netz.
> Bei welcher f liegt ungefähr die Grenze als Schaltmischer?

Hier steht was über die Bandbreite des 74HC4066:
http://www.qrpforum.de/index.php?page=Thread&threadID=4934
"Selbst ein 74HC4066 läßt sich einsetzen"

> Welche Nachteile würden sich ergeben, wenn man das CW-Filter
> ebenfalls hochohmiger abschließen würde?

Welligkeit, möglicherweise 2 Peaks im Durchlassbereich. Das Filter wirkt 
wie eine Kurzschluß für die Stufe zuvor. Wenn die Leistung nicht ins 
Filter gelangt, dann kann hinten auch nichts rauskommen. Schlechtes 
Einschwingverhalten, dadurch werden die Morsezeichen verwaschen.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

KLS schrieb:
> Abdul K. schrieb:
>> Fürs Kurzwellenband ist sein simpler <recht aktueller> Schaltmischer
>> sehr interessant.
>

Ja. Vergleiche es mit den häufigen 4066 Vorschlägen und du siehst 
sofort, wo wirklich Fortschritt ist.


> So etwas findet man oft mit einem 4066 realisiert im Netz. Dieser
> Baustein muss ganz schön flink sein.
> Bei welcher f liegt ungefähr die Grenze für diesen Baustein als
> Schaltmischer, falls das hier jemand weiß?
>

Aus dem Kopf: 80MHz. Hängt aber auch von der Ansteuerung, Aufbau und 
Hersteller ab.
4066 hat sich spätestens mit dem Trask-Vorschlag eines Wechselschalters 
sowieso erledigt.


> Beziehst du dich mit dem Geschriebenen auf sein PDF
> http://home.earthlink.net/~christrask/Mixer%20Diplexers.pdf
> ?
>

Ich kenne diesen Vorschlag (und lese sofort jede seiner neuen Ideen ;-) 
)
Ob das haltbar ist, weiß ich allerdings nicht. Das müßte ich zumindest 
mal simulieren.
Wenn es wahr ist, dann ist auch wiederum dieser Vorschlag mal wieder 
meilenweit den 'normalen bauenden Amateurfunkern' voraus.
Im Bereich Diplexer gibt es allerdings Patente in einer 
unübersichtlichen Zahl. Auch ich habe da schnell aufgegeben.

Wie man schon vermuten kann, benutze ich keine Ringmischer sondern 
Gilberts in ihren Varianten (auch linear multiplizierend). Schaltmischer 
stehen noch aus. Ringmischer sehe ich eher im Bereich GHz und für 
Meßgeräte. Also da wo Preis und Leistungsverbrauch egal sind.


Schön kann man auch in diesem Thread erkennen, daß die Beteiligten 
völlig unterschiedliche Schwerpunkte im Kenntnisstand zum Thema haben. 
Das sorgt dann in der Diskussion jedesmal für Krach - früher oder 
später.

z.B. bleibe ich bei meinem Standpunkt, daß der SA602 eine eierlegende 
Wollmilchsau ist! Kein anderer Baustein hat solche Leistungsdaten, wenn 
man den eigenen Leistungsverbrauch in die Metrik einbezieht.

von Wilhelm; DK4TJ (Gast)


Lesenswert?

Hallo zusammen

@ KLS

> Ach so, habe ein paar T80-2 Ringkerne besorgt (1MHz - 30MHz). Frage
> mich, ob man mit zwei Kernen von dieser Sorte einen großsignalfesten
> Mischer aufbauen kann (einen Dioden-Ringmischer).

Meines Wissens und meiner eigenen Erfahrung nach werden
Diodenringmischer mit Ferritübertragern gebaut.

Bei Minicircuits gibt es  Mixer, die deutlich unter 50kHz anfangen.
Nicht die Trickschaltung mit ZF und HF vertauscht!
Das mit einem Eisenpulverkern? Würden wohl etwas groß werden.
So ein Ding selbst zu bauen ist gar kein Problem.
Beim trifilaren Übertrager muss man nur etwas bei der
Beschaltung aufpassen.
Versuch mal dein Glück mit FT43 oder FT61. Zur Not gehen auch
ein paar Ferritperlen.

(Hoffentlich liest Falk Brunner diesen Beitrag nicht. Ich hatte mit
ihm schon mal eine heftige Diskussion über Leitungsübertrager.)

Standardringmischer mit 2 Trafos und 4 Dioden laufen einfach.
Da ist nichts schwieriges dran. Ca. +7dBm (5mW) als Oszillatorpegel,
mehr braucht es nicht.
Selbst die Diskussion über geeignete Dioden ist erstmal überflüssig.
Auch ich würde immer Schottkydioden vorziehen aber ich selbst habe
bei einem selbstgestrickten Teil mal gemessen!, daß irgendwelche
japanische Si-Dioden besser waren als HP2800. Selbst ein
Diodenquartett HP2805 bringt auf KW nichts.
Wenn du mehr Pegel möchtest, mußt du die Struktur des Ringes ändern:
2 Dioden in Serie, zur Diode ein C-überbrücktes R in Serie und
andere Möglichkeiten. Z.B. nachzulesen bei:

E.T. Red :'Arbeitsbuch für den HF-Techniker', Franzis

Dann brauchst du natürlich auch mehr Oszillatorpegel.

Der Diplexer ist wichtig, zumindest auf der ZF-Seite.
Da sind bei den IM3 10dB und mehr zu holen!


@ Abdul

>Ringmischer würde ich eher für den Bereich ab mehrere 100MHz ansiedeln.
>Die sind sozusagen am Aussterben.

Wie kommst du zu dieser Ansicht?
Schau dir an was Minicircuits und Konsorten da alles anbieten.
Die Bauformen werden kleiner und die Frequenzen immer weiter nach oben
geschoben. Sonst nix.

Bzgl. Chris Trask : Einfach nur ein genialer Mann.


73 Wilhelm

von B e r n d W. (smiley46)


Lesenswert?

> Versuch mal dein Glück mit FT43 oder FT61. Zur Not gehen auch
Doppellochkerne bis 4µH den BN61-2402 und ab 5µH einen BN43-2402.

> Standardringmischer mit 2 Trafos und 4 Dioden laufen einfach.
Full agree! Jeder sollte mal einen Diodenringmischer selbst gebaut 
haben. Ein Vorteil des Selbstbaus: 2-3 Anpasswicklungen können gleich 
mit auf den Übertrager gepackt werden.

> Der Diplexer ist wichtig, zumindest auf der ZF-Seite.
> Da sind bei den IM3 10dB und mehr zu holen!
Das machen Analogschalter mit links.

Jetzt gibt es Vorschläge für den ultimativen Mischer, aber die 
Schwachstelle Vorfilter mit 40dB Spiegelfrequenzunterdrückung kommt mir 
vor wie ein Mofa mit F1-Reifen.

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Das liegt daran, daß die allermeisten 'Abhandlungen' einfach nur den 
Mischer als das größte Problem sehen. Vorfilter, Diplexer (bei aktiven 
Mischern größtenteils unnötig), Phasenrauschen/Jitter im LO bzw. der PLL 
werden einfach als ideal angesehen.

Das mit dem Ringmischer sehe ich wie bei Röhren. Sie haben auch noch 
ihre Berechtigung, aber der Stückzahlbereich ist es nicht mehr.

mini-circuits fing als Modulhersteller an und dabei blieben sie auch. 
Wenn z.B. einer im Physikbereich einen Mischer brauch, dann wird der 
hier seltenst vorbeikommen. Der kauft bei mc den passenden. Geld spielt 
für diese Leute keine große Rolle. Genauso Militär u.ä. Das ist deren 
lohnende Kundschaft.

Man muß eben im Einzelfall das bestpassenste Bauelement auswählen. Hängt 
auch etwas von den persönlichen Vorlieben und Erfahrungen ab.

von Ralph B. (rberres)


Lesenswert?

Naja die Mini Circuits Bauteile sind aber nicht all zu teuer.

Ich verwende die auch gerne.

Ralph Berres

von Appaloosa (Gast)


Lesenswert?

Abdul K. schrieb:
> Das liegt daran, daß die allermeisten 'Abhandlungen' einfach nur den
> Mischer als das größte Problem sehen. Vorfilter, Diplexer (bei aktiven
> Mischern größtenteils unnötig), Phasenrauschen/Jitter im LO bzw. der PLL
> werden einfach als ideal angesehen.

Stimmt so nicht, zumindest nicht in der AFU-Literatur, die ich so 
gelesen habe. Dort ist der LO knapp vor dem Mischer....

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Ist halt meine persönliche Wahrnehmung. Die wird durch allerlei Dinge 
verzerrt. Googled doch einfach. Dort findet ihr viel haltbarere 
substantiellere Aussagen. Ich bin nur ein kleiner Wurm, der jeden Tag 
ängstlich aber notwendig aus seinem Loch guckt. Neue Blätter einsammelt.

von Wilhelm; DK4TJ (Gast)


Lesenswert?

Hallo zusammen.

@ Bernd

>> Der Diplexer ist wichtig, zumindest auf der ZF-Seite.
>> Da sind bei den IM3 10dB und mehr zu holen!
> Das machen Analogschalter mit links.

So ein selbstgestrickter Mixer macht bei halbwegs manierlichen
Pegeln (-20dBm bis -10dBm) einen 2-Ton IM3 Abstand von
mindestens 30dB pro Ton.
Wer gibt sich als Bastler mit 10dB IM3 Abstand zufrieden?

>> Da sind bei den IM3 10dB und mehr zu holen!
Zusätzlich!! Man kommt einfach in Regionen > 40dB. Allein das zu messen
ist schon ein Problem. Ist das echt, was der Analyzer anzeigt, oder
doch nur ein Schmutzprodukt...??

Ich hatte mich wohl nicht klar genug ausgedrückt.

Einen Analogschalter habe ich noch nicht probiert.
Ein Ringmischer war bis jeztz immer die einfachste Lösung.
Bei den Analogschaltern stört mich immer dieses 4 * Fo.

73 Wilhelm

von Jochen F. (jamesy)


Lesenswert?

Ich bin der Meinung, daß man sich, wenn jier die Mischertypen diskutiert 
werden, man schon genaue Terminologie einhalten sollte. Da die 
Intermodulationsprodukte 3. Ordnung mit Faktor 3 ansteigen, im 
Verhältnis zum Anstieg der 2 Töne, ist hier eine Angabe des IP 3. 
Ordnung sinnvoll. Dieser wird aber nicht in dB, sondern in dBm 
angegeben, bei einem definierten Abstand der 2 Töne.

von Ralph B. (rberres)


Lesenswert?

Wilhelm; DK4TJ schrieb:
>>> Da sind bei den IM3 10dB und mehr zu holen!
>
> Zusätzlich!! Man kommt einfach in Regionen > 40dB. Allein das zu messen
>
> ist schon ein Problem. Ist das echt, was der Analyzer anzeigt, oder
>
> doch nur ein Schmutzprodukt...??


Keine Sorge. Der Spektrumanalyzer zeigt das schon richtig an und zwar 
bis zu ca 70db. Vorrausgesetzt der SA ist nicht übersteuert, was aber 
leicht mit dem betätigen des Eingangsabschwächers des SA zu verifizieren 
ist.

Nimmt man den Abschwächer um 10db raus, und die IM Produkte steigen um 
20db, so ist der SA übersteuert.

Ralph Berres

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Wilhelm; DK4TJ schrieb:
> Einen Analogschalter habe ich noch nicht probiert.
> Ein Ringmischer war bis jeztz immer die einfachste Lösung.
> Bei den Analogschaltern stört mich immer dieses 4 * Fo.
>

Dann kennst du nicht alle Varianten. Wenn du einen IQ-Konverter bauen 
willst, hast du das Problem 0°/90° mit den klassischen Ringmischern 
genauso!
Mit differentieller Logik kann man es auf Faktor 2 drücken.


Deswegen schrieb ich ja schon:
1. Billigschaltungen auf einem IC integriert für den Massenmarkt -> 
hoher 'natürlicher' Gleichlauf auf dem IC macht IQ sinnvoll.
2. Modernes Konzept: Direktsampler mit nachfolgender FFT. (Ist doch 
witzig: Das ist der uralte Geradeausempfänger, nur digitalisiert)

Ich würde dir als nächstes Bastelobjekt den hier empfehlen: Anhang


Berichte deine Ergebnisse.

von Abdul K. (ehydra) Benutzerseite


Angehängte Dateien:

Lesenswert?

Tja, Anhänge anhängen bei Änderung des Betrags geht immer noch nicht.

von B e r n d W. (smiley46)


Lesenswert?

@Wilhelm
>> Da sind bei den IM3 10dB und mehr zu holen!
> Das machen Analogschalter mit links.
Ja, das war ein Mißverständnis. Allerdings hatte schon mal jemand einen 
7dBm Mischer untersucht und der fiel ohne Diplexer auf 2dBm ab. Also 
falls jemand ein einfaches Gerät aufbauen will, es geht zur Not auch 
ohne.

Der NE602 liegt bezogen auf das Eingangssignal bei -13dBm und der 
Kompressionspunkt bei -25dBm = 12,5mV an 50 Ohm = 70mV an 1,5k. Ob es 
sich lohnt, das Signal für ein besseres Signal/Rausch-Verhältnis auf die 
70mV/1,5k hochzutransformieren, muß jeder selbst abwägen. Die NF=5dB 
bezieht sich bestimmt zur Beschönigung des Datenblattes auf ein 
SSB-Signal.

> Ein Ringmischer war bis jetzt immer die einfachste Lösung.
> Bei den Analogschaltern stört mich immer dieses 4 * Fo.

Die 4*Fo gelten aber nur für SDRs. Es geht auch mit 2*F, dann aber mit 
einer gewissen Ungenauigkeit im Phasenwinkel und dadurch reduzierter 
Spiegelfrequenzunterdrückung.

Aber warum werden eigentlich Schaltmischer so selten für Superhets 
verwendet?

von Abdul K. (ehydra) Benutzerseite


Lesenswert?

Hast du mal für den SA602 alle Anschlußwerte von 50 Ohm auf 3K 
differentiell umgerechnet? Wie sieht dann der Dynamikbereich für einen 
Ringmischer vs. den SA602 aus? Das ist doch das entscheidende. Das würde 
mich mal interessieren.

Und was man sagen muß: Der SA602 benötigt keine Diplexer an allen Ports 
und es ist eine Transistorstufe weniger für die weitere Verstärkung 
notwendig.


> Aber warum werden eigentlich Schaltmischer so selten für Superhets verwendet?

Die Industrie für Billigprodukte hängt immer mindestens 20 Jahre 
hinterher. Wenn du nun einen Schaltmischer mit ein paar MHz laufen läßt, 
reicht der Abstand zur ausreichenden Spiegelfrequenzunterdrückung nicht 
aus.

von Wilhelm; DK4TJ (Gast)


Lesenswert?

Hallo zusammen.

@ Jochen

>Ich bin der Meinung, daß man sich, wenn jier die Mischertypen diskutiert
>werden, man schon genaue Terminologie einhalten sollte. Da die
>Intermodulationsprodukte 3. Ordnung mit Faktor 3 ansteigen, im
>Verhältnis zum Anstieg der 2 Töne, ist hier eine Angabe des IP 3.
>Ordnung sinnvoll. Dieser wird aber nicht in dB, sondern in dBm
>angegeben, bei einem definierten Abstand der 2 Töne.


Ich habe in keinem meiner Beitäge das Wort:
Interception Point 3. Ordnung oder vergleichbare  Termini
gebraucht. Du hast schon recht, die werden in dBm angegeben.
Ich habe mich immer nur auf relative Pegel bezogen.
Und wenn man es dann gerne logarithmisch mag, das sind 'dB's.

73 Wilhelm

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.