Forum: FPGA, VHDL & Co. Elektronik-Stammtisch (Attraktor, Hamburg):FPGA/ PSHDL-Workshop


von Markus U. (markjus) Benutzerseite


Lesenswert?

Elektronik-Stammtisch (Attraktor, Hamburg)
Thema des Abends: FPGA/ PSHDL-Workshop

Nach der ersten Einführung zu FPGAs im September wird uns Karsten am 
Montag, den 02.12.2013 ab 19:30 Uhr PSHDL - die "Plain Simple Hardware 
Description Language" vorstellen. PSHDL soll eine Sprache sein, die 
darauf abzielt, auf einfache Weise etwas zu schreiben, was sowohl 
synthetisiert werden kann und sich auch in der Simulation entsprechend 
verhält.

Der Abend soll eine sehr praxisbezogene Einführung in die Programmierung 
von FPGAs werden. So werden z.B. LEDs auf die verschiedensten Arten zum 
Blinken gebracht. Der Aufbau wird sehr ähnlich sein wie beim
http://events.ccc.de/congress/2012/wiki/FPGA_101 29C3 Workshop, nur das 
es dieses mal reines http://pshdl.org/101/index.html PSHDL wird.
Karsten wird dafür einige Avnet 
http://www.xilinx.com/products/boards-and-kits/AES-S6MB-LX9.htm Spartan 
6 LX9 microboards mitbringen.

Sinnvollerweise sollte man sich vorher die 
http://www.xilinx.com/support/download/index.html/content/xilinx/en/downloadNav/design-tools.html 
Xilinx ISE installieren, da dies sehr zeitaufwendig ist.

Die Webpack Edition reicht dafür - das kann man bei der Installation 
auswählen - die 20GB für die Installation braucht man dann aber doch. 
Genauer gesagt werden benötigt: ISE Project Navigator oder Planahead und
iSim.

Weitere Infos: http://blog.attraktor.org

von G. U. (Gast)


Lesenswert?

Markus U. schrieb:
> So werden z.B. LEDs auf die verschiedensten Arten zum
> Blinken gebracht.
Hört Hört!

Schade, dass ich soweit wech bin von Hamburg. Gibt es sowas auch weiter 
südlich?

von FPGA-Ingenieur (Gast)


Lesenswert?

und südwestlich?

von FPGA-Ing (Gast)


Lesenswert?

Leute, es geht um PSHDL. Das ist zwar ganz nett, weil die VHDL Syntax 
ist wirklich etwas krude. Aber PSHDL ist eine selbstgebaute Sprache, die 
nur die VHDL Syntax etwas umbiegt, und auch nur ein (selbst für die 
Synthese) sehr beschränktes Subset, von dem was VHDL kann, mitbringt. 
Wenn ihr wirklich was mit programmierbarer Logik machen wollt, lernt 
lieber VHDL (oder auch Verilog, oder irgendwas anderes 
standardisiertes...).

von Fpgakuechle K. (Gast)


Lesenswert?

FPGA-Ing schrieb im Beitrag #3419012:
> Leute, es geht um PSHDL. Das ist zwar ganz nett, weil die VHDL Syntax
> ist wirklich etwas krude. Aber PSHDL ist eine selbstgebaute Sprache, die
> nur die VHDL Syntax etwas umbiegt, und auch nur ein (selbst für die
> Synthese) sehr beschränktes Subset, von dem was VHDL kann, mitbringt.
> Wenn ihr wirklich was mit programmierbarer Logik machen wollt, lernt
> lieber VHDL (oder auch Verilog, oder irgendwas anderes
> standardisiertes...).

Klingt doch so als wäre PSHDL an einsteiger gerichtet, die den ersten 
schritt in richtung volle vhdl-beherrschung gehen wollen. So wie BASIC 
die hemmschwelle vorm programmieren auf heimcomputer-level drückte.

MfG,

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Markus U. schrieb:
> ... soll eine Sprache sein, die darauf abzielt, auf einfache Weise
> etwas zu schreiben, was sowohl synthetisiert werden kann und sich auch
> in der Simulation entsprechend verhält.
Erinnert mich stark an Verilog...  ;-)


Man darf VHDL nicht mit ungeeigneten Beispielen als "geschwätzig" 
hinstellen, das ging schon beim Vergleich "Grafik vs. VHDL" und beim 
Vergleich "Verilog vs. VHDL" schief...
Ich nehme mal dieses Beispiel:
1
module Led3 {
2
  register uint<8> counter=counter+1;
3
  out bit<4> led;
4
  led{0}=counter{7};
5
}
Kein Reset weit und breit und keine Defaultwerte für die unbenutzen 
Ausgänge...
Oder doch? Ein impliziter Reset? Implizierte Initialisierung und 
Defaultwerte?
Tatsächlich, wie das Übersetzungsergebnis auf 
http://pshdl.org/101/example2.vhd zeigt: Reset und Initialisierung.

Dann lieber gleich einen VHDL Code, wo man das alles und auch die 
verwendeten Datentypen sieht:
1
library ieee;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
5
entity Led3 is
6
   port( clk, reset : in  std_logic;
7
         led : out std_logic_vector(3 downto 0):="0000");
8
end;
9
10
architecture behave of Led3 is
11
   signal reg   : unsigned(7 downto 0);
12
begin
13
   led(0) <= reg(7);
14
   -- man braucht nicht für jeden Furz einen Prozess:
15
   -- Zähler mit asynchronem Reset   
16
   reg <= (others=>'0') when reset='1' else reg+1 when rising_edge(clk);
17
   -- oder: Zähler mit synchronem Reset
18
      reg <= reg+1 and (reg'range=>(not reset)) when rising_edge(clk);
19
end;

Fpga Kuechle schrieb:
> So wie BASIC die hemmschwelle vorm programmieren auf heimcomputer-level
> drückte.
Wobei BASIC da eigentlich konkurrenzlos dastand. Bei seiner Einführung 
war weit&breit nichts von Pascal oder gar C zu sehen:
http://www.tinohempel.de/info/info/sprachen/paradigmen.htm

von Fpgakuechle K. (Gast)


Lesenswert?

Lothor tippte:
>Fpga Kuechle schrieb:
>> So wie BASIC die hemmschwelle vorm programmieren auf heimcomputer-level
>> drückte.
>Wobei BASIC da eigentlich konkurrenzlos dastand. Bei seiner Einführung
>war weit&breit nichts von Pascal oder gar C zu sehen:
>http://www.tinohempel.de/info/info/sprachen/paradigmen.htm

Aber sehr wohl gab es auch 1964 "Alternativen" zu Beginnersprachen, wie 
Fortran, oder eben Hex, Assembler oder gar Makro-Assembler. Wobei ich 
mich aber auf die Hochzeit von Basic in der Homecompouterära (80iger) 
bezog. Da hats eben noch Forth, C, Pascal,LOGO etc. . Denoch hatte Basic 
eine Riesennutzerbasis, weil man eben nicht hundert sachen richtig 
machen muß fürs erste kleine Erfolgserlebnis.

So sehe ich das auch mit PSHDL. Es ist nicht gedacht um VHDL abzulösen 
sondern ein didaktischer Schritt zur Erlernen der FPGA/VHDL-Technologie.
Gerade durch die impliziten Resets etc bleibt der Blick frei für den 
nächsten kleinen Lernschritt.

IMHO ist VHDL nicht per se schwierig zu erlernen, schwierig wird es 
wegen
a) Vermischung dreier komplexen Themen VHDL-Syntax, digitaler 
Schaltungstechnik, FPGA
b) akademischer Zergliederung und Abstraktion in den Lehrbüchern 
(Abhandlungen über Simulation nebenläufiger/paralleler Prozesse, etc)
c) weitgehende Aussparung der am häufigsten benutzen tools
d) Fehlen einer Didaktik fürs Selbststudium

Und wenn da jemand seinen eigenen Weg zur Meisterschaft findet, so kann 
das nur recht sein - Jedem Jeck Sin Pappnas !

MfG,

: Bearbeitet durch Moderator
von Grendel (Gast)


Lesenswert?

Hab PSHDL mal kurz ausprobiert - ich finde es hat durchaus Potential 
wenn man noch etwas dran arbeitet...
"Fertig" isses ja nicht (steht ja auch direkt dabei 
http://pshdl.org/pshdl.html ), da kann man sicher noch Sprachfeatures 
ergänzen oder ändern.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.