Forum: Mikrocontroller und Digitale Elektronik Abblockkondensator 1 µF oder 100 nF besser?


von Franz (Gast)


Lesenswert?

Hallo,

normalerweise hat man ja auf einer Platine an der Spannungsversorgung 
mindestens einen Elko und dann z.B. direkt vor dem Mikrocontroller an 
jedem VCC-Pin einen Kerko als Abblockkondensator. Übliche Werte sind 
dort ja 100 nF und dort gehen die Bauformen ja von klein bis winzigst.

Wenn ich nun von Hand löten will und ohnehin nicht allzu kleines 
Hühnerfutter verwenden möchte, bringt es mir dann Nachteile ein, wenn 
ich z.B. einen 0805 100 nF gleich durch einen 0805 1 µF ersetze? Ohne 
Änderungen der restlichen Schaltung, meine ich.

Hat das also z.B. frequenztechnisch irgendwelche negativen Konsequenzen? 
Aus dem Datenblatt bin ich bisher nicht schlau geworden. Wie haltet ihr 
es damit?

von Uwe (Gast)


Lesenswert?

Größer != besser
Es ist sogar manchmal ganz gut noch nen 10nF parallel zu dem 100nF zu 
packen.

von Franz (Gast)


Lesenswert?

Ich habe mir das immer so erklärt, dass die kleineren Kerkos aufgrund 
ihrer Gehäusegröße besser sind, da man ja in der Massenproduktion dazu 
neigt, möglichst klein zu bauen.

von Dirk J. (dirk-cebu)


Lesenswert?

Uwe schrieb:
> Größer != besser
> Es ist sogar manchmal ganz gut noch nen 10nF parallel zu dem 100nF zu
> packen.
Und noch 'nen 1nF parallel zum 10nF ;)

von Wilhelm F. (Gast)


Lesenswert?

Manche verwenden auch mal noch kleiner, z.B. nur 47nF.

Die Kondensatoren haben bei wachsender Größe ja auch wieder zunehmend 
störende Induktivität, was wiederum kontraproduktiv ist.

Dann schalten heutige Digitalschaltungen auch mit höherer Frequenz und 
weniger Leistung als früher, wo der Faustwert 100nF mal her stammte.

Streng genommen müßte man an jedem Baustein einzeln messen, wie hoch die 
Störungen sind.

Es ist, wie auch Uwe schon schrieb. Manchmal sah ich am Eingang eines 
Spannungsreglers 1000µF und parallel noch mal 100nF. Was soll das, fragt 
man sich, das verbessert doch die Gesamtkapazität nicht signifikant. 
Aber der Kleine sieht kurze höher frequente Peaks mehr als der Große.

von Daniel H. (Firma: keine) (commander)


Lesenswert?

Idealerweise setzt man als Abblockkondensator einen Wert ein, der auf 
die zu erwartenden Störungen/Frequenzen abgestimmt ist.

Ob man nun 100nF auch durch 1µF ersetzen kann hängt also vom konkreten 
Anwendungsfall ab.

Die verlinkte Appnote fasst das eigentlich ganz gut zusammen.

> www.cypress.com/?docID=31807

von 6A66 (Gast)


Lesenswert?

Franz schrieb:
> Wenn ich nun von Hand löten will und ohnehin nicht allzu kleines
> Hühnerfutter verwenden möchte, bringt es mir dann Nachteile ein, wenn
> ich z.B. einen 0805 100 nF gleich durch einen 0805 1 µF ersetze? Ohne
> Änderungen der restlichen Schaltung, meine ich.
>
> Hat das also z.B. frequenztechnisch irgendwelche negativen Konsequenzen?
> Aus dem Datenblatt bin ich bisher nicht schlau geworden. Wie haltet ihr
> es damit?

Solange Du Dich im Bastelbereich bewegst ist das - nahezu- egal.
Im Consumerbereich zählt jeder mCent, da wird's sicher kein 1u. Und im 
Industrial Bereich ist eher die Frage ob Du mit den Caps die 
Störfrequenzen richtig weggebügelt hast und nicht eher einen anderen 
Wert wählen solltest um die EMV zu beschwichtigen - da hilft Raten zu 
einer unbekannten Schaltung nix.

rgds

von Uwe Bonnes (Gast)


Lesenswert?

Franz schrieb:
> bringt es mir dann Nachteile ein, wenn
> ich z.B. einen 0805 100 nF gleich durch einen 0805 1 µF ersetze?

Bei gleicher Bauform kann man gleiche parasitäre Induktivität erwarten. 
Wenn beide Typen gleiches Material (X5R, X5S, X7R) und gleiche 
Spannungsfestigkeit haben halte ich den grösseren Wert für besser.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Franz schrieb:
> Ich habe mir das immer so erklärt, dass die kleineren Kerkos aufgrund
> ihrer Gehäusegröße besser sind, da man ja in der Massenproduktion dazu
> neigt, möglichst klein zu bauen.
Diese Erklärung ist zwar simpel, aber falsch. Richtig ist: um als 
Abblockkondensator wirken zu können, muss die Impedanz des 
angeschlossenen Kondensators möglichst niedrig sein. Und eine niedrige 
Impedanz erreicht man mit kleinen Leitungsinduktivitäten. Und kleine 
Leitungsinduktivitäten erreicht man durch kleine Bauformen. Und kleine 
Kapazitäten lassen sich am ehesten in kleinen Bauformen fertigen.

BTW: der niederimpedanteste Blockkondensator nützt nichts, wenn er 
falsch angeschlossen ist. Falsch ist z.B. ein Pin möglichst nah an die 
Versorgung und den anderen dann "irgendwie" auf Masse. Denn ein halbwegs 
brauchbar designter IC hat heutzutage immer Vcc-GND Pärchen, so dass es 
vom Blockkondensator nie weit zum Silizium ist. Als Denkanstoss:
http://www.lothar-miller.de/s9y/categories/14-Entkopplung

Uwe Bonnes schrieb:
> Bei gleicher Bauform kann man gleiche parasitäre Induktivität erwarten.
Wie kommst du darauf? Eine hohe Kapazität bedeutet bei gleicher Technik 
immer mehr Fläche/Lagen und damit eine grössere Leitungslänge im 
Kondensator.
> Wenn beide Typen gleiches Material (X5R, X5S, X7R) und gleiche
> Spannungsfestigkeit haben halte ich den grösseren Wert für besser.
Für Forgeschrittene: du musst dir die Impedanzkurve des Kondensaotrs 
ansehen. Und dann den zum Störer passenden Kondensator optimal ans IC 
anschließen.

: Bearbeitet durch Moderator
von Dummschwaetzer (Gast)


Lesenswert?

einfach mal ins Datenblatt schauen

von Uwe Bonnes (Gast)


Lesenswert?

Lothar Miller schrieb:

> Uwe Bonnes schrieb:
>> Bei gleicher Bauform kann man gleiche parasitäre Induktivität erwarten.
> Wie kommst du darauf? Eine hohe Kapazität bedeutet bei gleicher Technik
> immer mehr Fläche/Lagen und damit eine grössere Leitungslänge im
> Kondensator.
Induktivität kommt hauptsächlich durch die von der Leitung 
eingeschlossene Fläche. Und die bleibt bei 0805 annähernd gleich...

Dein Bild
http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg
ist für Analogschaltungen richtig. Aber bei Digitalschaltungen mit viele 
schaltenden Ausgängen sollte der GND Pin  auch direkt mit Vias an die 
Masselage angekoppelt sein, um Störungen beim simultanen Entladen 
externer Leitungskapazitäten klein zu halten.

von Franz (Gast)


Lesenswert?

Ein Datenblatt ist z.B. hier:
http://www1.futureelectronics.com/doc/SAMSUNG 
PASSIVE/CL05B104KQ5NNNC.pdf

Ganz hinten ist ein Diagramm mit dem Frequenzgang. Nur irgendwie 
verstehe ich es so, dass ich zum Blocken von HF besser die höheren Werte 
nehme (= kleinere Impedanz).

von Amateur (Gast)


Lesenswert?

Viel ist nicht immer gut.

Viel besser ist angepasst.

Die fast immer vorhandene Spannungsreglung mag nun mal keine übergroßen 
Kondensatoren im Lastzweig.
Im Gegentum: Manchmal sind sie sogar kontraproduktiv.

Nur bei Schaltungsteilen oder Bauteilen die wirklich größere Ströme, aus 
dem geregelten Bereich schalten, können diese sinnvoll sein.
Allerdings sollte man in diesem Falle über eine Schaltungsänderung - 
z.B. eine zweite, ungeregelte Spannungsschiene - nachdenken.

von Possetitjel (Gast)


Lesenswert?

Uwe Bonnes schrieb:
> Induktivität kommt hauptsächlich durch die von der
> Leitung eingeschlossene Fläche. Und die bleibt
> bei 0805 annähernd gleich...

Mag sein. - Bei konstanter (parasitärer) Induktivität
und vergrößerter Kapazität sinkt aber die Serien-
resonanzfrequenz (wenn auch nur mit der Wurzel, aber sie
sinkt). Oberhalb der Serienresonanz wirkt der Kondensator
aber als Spule...

von ich (Gast)


Lesenswert?

Possetitjel schrieb:
> Oberhalb der Serienresonanz wirkt der Kondensator
> aber als Spule...

So ist es. Du sagst es. Dann schwingt unter Umständen noch mehr, als 
ohne diesen Kondensator.
Manche sollten sich mal die HF-Eigenschaften von verschiedenen 
Bauelementen auf dem Smith-Diagramm ansehen. Dann kämen sie aber aus dem 
Staunen gar nicht wieder heraus. Was schon paar mm Draht ausmachen. 
Einfach mal 100n mit kompletten Anschlußdrähten einlöten, und einmal 
100n SMD. Sind doch beidesmal 100n, aber komplett anderes Verhalten.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Uwe Bonnes schrieb:
> Dein Bild
> http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg
> ist für Analogschaltungen richtig.
Nein, es ist sogar speziell für Digitalschaltungen gültig, weil dort 
viele Schaltvorgänge innerhalb des ICs stattfinden. Und deren Ströme 
müssen nicht erst noch auf die Masselage, sie haben dort überhaupt 
nichts zu suchen. Denn wenn Ströme mit 500MHz mal auf der Platine sind, 
dann sind sie auch in der Luft. Und 500MHz ist gerade mal ein brauchbar 
erkennbares Rechtecksignal mit 100MHz... :-o

> Induktivität kommt hauptsächlich durch die von der Leitung
> eingeschlossene Fläche. Und die bleibt bei 0805 annähernd gleich...
Schon ein simpler gerader Leiter ganz ohne messbare Fläche hat eine 
Induktivität. Oder wie sagen die Angelsachsen: Each mm has its nH.

: Bearbeitet durch Moderator
von HildeK (Gast)


Angehängte Dateien:

Lesenswert?

Hier ein Impedanzplot von 1µF (0805), 100nF, 10nF und 1nF (0402) von 
Kemet Spice (ältere Version, reale Kemet-Cs).

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

HildeK schrieb:
> Hier ein Impedanzplot von 1µF (0805), 100nF, 10nF und 1nF (0402)
Da sieht man, dass gegen den angeführten Störer mit 500MHz eigentlich 
ein 1nF Blockkondesator das richtige Mittel ist. Und dieser Kondesator 
muss so dicht wie möglich mit beiden Beinen ans IC. Denn was nützt es, 
wenn die Vcc Seite nur 1mm Abstand zum Pin hat, dann aber 8mm und zwei 
Durchsteiger auf der GND Seite und somit 10mm vergehen bis der Strom 
wieder zurück ins IC kommt? Es gilt da ja immer noch effektiv die 
Reihenschaltung von L(1mm)+C+L(10mm).
Dem Strom ist es egal, ob er da nach 2mm auf einer (gutgemeinten) 
Massefläche ist. Das hilft ihm nicht weiter. Er muss zurück ins IC. 
Erst dann ist der Stromkreis geschlossen.

: Bearbeitet durch Moderator
von HildeK (Gast)


Lesenswert?

Lothar Miller schrieb:
> Da sieht man, dass gegen den angeführten Störer mit 500MHz eigentlich
> ein 1nF Blockkondesator das richtige Mittel ist.

Oder ein noch kleinerer. Das ist aber nur dann sinnvoll, wenn man den 
als X7R bekommt. Die Parallelschaltung von Cs mit hoher Güte können zu 
Schwingungen führen.

von Falk B. (falk)


Lesenswert?

@Lothar Miller (lkmiller) (Moderator) Benutzerseite

>vom Blockkondensator nie weit zum Silizium ist. Als Denkanstoss:
>http://www.lothar-miller.de/s9y/categories/14-Entkopplung

AHHH; schon wieder DIE Seite!!!

>> Bei gleicher Bauform kann man gleiche parasitäre Induktivität erwarten.
>Wie kommst du darauf? Eine hohe Kapazität bedeutet bei gleicher Technik
>immer mehr Fläche/Lagen und damit eine grössere Leitungslänge im
>Kondensator.

Nanana! Du hast dabei wohl noch die uralten, gewickelten Elkos im Kopf. 
Da bist du aber schief gewickelt! ;-)
Keramikkondensatoren bestehen aus VIEEEEELEN Schicten Isolator und 
Leiter, die alle PARALLEL an die Kontaktflächen geführt werden, jeweils 
versetzt links und rechts! Und somit ergibt sich bei hoher Kapazität 
KEINE größere Leitungslänge. Wohl aber niedrigere Resonanzfrequenz.

>Für Forgeschrittene: du musst dir die Impedanzkurve des Kondensaotrs
>ansehen. Und dann den zum Störer passenden Kondensator optimal ans IC
>anschließen.

Jain.

von Falk B. (falk)


Lesenswert?

@ ich (Gast)

>> Oberhalb der Serienresonanz wirkt der Kondensator
>> aber als Spule...

Induktiv.

>So ist es. Du sagst es. Dann schwingt unter Umständen noch mehr, als
>ohne diesen Kondensator.

Unsinn. Denkst du das Verhalten ändert sich schlagartig, wenn das 
Zeigerdiagramm von 0 Grad ein bisschen Richtung induktiv dreht? Nö. Klar 
STEIGT die Impedanz, aber der Absolutwert ist immer noch niedrig.

>100n SMD. Sind doch beidesmal 100n, aber komplett anderes Verhalten.

Quark. Unter KOMPLETT verstehe ich was GANZ anderes. Der Kondensator ht 
halt ein paar (Dutzend)nH mehr und geht eher in die Resonanz mit einem 
höheren Minimum.

von Falk B. (falk)


Lesenswert?

@Franz (Gast)

>verstehe ich es so, dass ich zum Blocken von HF besser die höheren Werte
>nehme (= kleinere Impedanz).

Das ist auch so. Und zwar die höheren Werte, die man im kleinen Gehäuse 
bekommen kann, ohne sich dabei zusätzliche Induktivitäten einzuhandeln. 
Andererseits ist es bei hohen und hösten Frequenzen (1GHz++) albern, 
dort mit uF zu arbeiten, wo es wenig nF oder sogar pF ausreichend tun.

von Falk B. (falk)


Lesenswert?

@ HildeK (Gast)

>> Da sieht man, dass gegen den angeführten Störer mit 500MHz eigentlich
>> ein 1nF Blockkondesator das richtige Mittel ist.

>Oder ein noch kleinerer. Das ist aber nur dann sinnvoll, wenn man den

Jain. Der Vergleich hinkt ein wenig, eben weil die Geäusegrößen 
verschieden sind. 100pF in 0402 haben praktisch das gleich ESL wie 1nF 
aber nur 1/10 der Kapazität, somit wird der Absolutwert der Impedanz 
HÖHER sein als bei 1nF.

von MaWin (Gast)


Lesenswert?


von Lothar S. (loeti)


Lesenswert?

So ganz nebenbei muß man bei KERKOs auch noch darauf achten die mit dem 
richtigen Dielektrikum zu nehmen.

Abblockkondensatoren, ihre Größe und Platzierung sind, ab ca. 50MHz 
Taktfrequenz, "Eine Wissenschaft für sich".

Am einfachsten für Anfänger ist es sich an die Empfehlungen im
Datenblatt zu halten, auch bezüglich des genauen Typs des 
Kondensators, hier sollte man nur direkt vergleichbare Typen anderer 
Hersteller verwenden.

Grüße Löti

von Falk B. (falk)


Lesenswert?

@ MaWin (Gast)

>http://www.dse-faq.elektronik-kompendium.de/dse-fa...

"Bedrahtete 100nF Keramikkondensatoren verlieren oberhalb 7MHz ihre 
Wirkung SMD 100nF Keramikkondensatoren verlieren oberhalb von 17MHz ihre 
Wirkung 100nF in Präsisionsfassungen schon oberhalb von 3MHz"

Die FAQ hat sicher ihre Qualitäten, diese (Pauschal)Aussage gehört eher 
nicht dazu. :-(

Ab und an sollte man mal Qualitätskontrolle betreiben.

von Эраст Петрович Фандорин (Gast)


Lesenswert?

Löti schrieb:
>Am einfachsten für Anfänger ist es sich an die Empfehlungen im
>Datenblatt zu halten, auch bezüglich des genauen Typs des
>Kondensators, hier sollte man nur direkt vergleichbare Typen anderer
>Hersteller verwenden.

Ja, Du nun erst noch....

Wie haben es vor 25 Jahren Leute geschafft, ganze Rechner mit TTL-Chips
betriebssicher zu bekommen -ganz ohne solche Ratgeber`?

Erast Fandorin

von ich (Gast)


Lesenswert?

Falk Brunner schrieb:
> @ ich (Gast)
>
>>> Oberhalb der Serienresonanz wirkt der Kondensator
>>> aber als Spule...
>
> Induktiv.
>
>>So ist es. Du sagst es. Dann schwingt unter Umständen noch mehr, als
>>ohne diesen Kondensator.
>
> Unsinn. Denkst du das Verhalten ändert sich schlagartig, wenn das
> Zeigerdiagramm von 0 Grad ein bisschen Richtung induktiv dreht? Nö. Klar
> STEIGT die Impedanz, aber der Absolutwert ist immer noch niedrig.
Schlagartig nicht, ist doch logisch. Das habe ich auch gar nicht 
geschrieben. Und auch nicht, daß es schwingen MUß. Ich schrieb "unter 
Umständen", weil ich das selbst schon öfters erlebt habe. Wenn durch 
einen falschen Kondensator eine Resonanz entsteht, die man nicht haben 
möchte, ist es egal, ob man sagt "aber die Impedanz ist doch immer noch 
niedrig, eigentlich dürfte das nciht schwingen". Das interessiert die 
Schwingung überhaupt nicht. Erst die Wahl eines anderen Kondensators 
bringt in diesem Fall Abhilfe.
>
>>100n SMD. Sind doch beidesmal 100n, aber komplett anderes Verhalten.
>
> Quark. Unter KOMPLETT verstehe ich was GANZ anderes. Der Kondensator ht
> halt ein paar (Dutzend)nH mehr und geht eher in die Resonanz mit einem
> höheren Minimum.
Das habe ich gerade erläutert. Abhängig von der Frequenz IST es ein 
komplett anderes Verhalten, ob die Schaltung anfängt zu schwingen oder 
nicht. Und da nützt es auch nichts, daß sie "gerade so" schwingt. Oder 
handempfindlich wird. Sie zeigt ein unerwünschtes Verhalten und nicht 
das, was man beabsichtigt hat.

Und was die "paar nH" betreffen, dann schalte doch mal einen bedrahteten 
100n an einen Vektoriellen Analyzer und schau, was der beispielsweise im 
dreistelligen MHz-Bereich macht. Und dann guck dir im Gegensatz dazu 
einen SMD an oder auch schon einen bedrahteten, der so kurz wie möglich 
eingelötet wurde. Da sind Welten dazwischen. Das Fazit ist nach diesen 
Messungen, daß es (fast) keinen Sinn macht, einen 100n "eben mal so zum 
Test" mit langen Beinen in die Schaltung zu löten.

von Lothar S. (loeti)


Lesenswert?

> Wie haben es vor 25 Jahren Leute geschafft, ganze Rechner mit TTL-Chips
> betriebssicher zu bekommen -ganz ohne solche Ratgeber`?

Indem sie sich, wie ich damals, mühsam in die Materie eingearbeitet 
haben allerdings mit ECL und nicht nur popel TTL.

Grüße Löti

von GB (Gast)


Lesenswert?


von Antimedial (Gast)


Lesenswert?

Lothar Miller schrieb:
> Als Denkanstoss:
> http://www.lothar-miller.de/s9y/categories/14-Entkopplung

Naja. Nach deiner Theorie dürfte kein BGA-FPGA funktionieren. Du 
vergisst die Kapazität der Masseflächen. Die Anbindung der C an die 
Massefläche ist außerdem suboptimal, normalerweise führt man es seitlich 
raus und macht nicht so dünne Leiterbähnchen.

Auf dem "Beispiel aus der Praxis" ist eine Schaltung mit urlangsamen 
TL082 gezeigt. Dort spielt das wirklich keine Rolle. Vermutlich haben 
andere Überlegungen zu dem dortigen Layout geführt (optimale Verlegung 
der Signallagen o.Ä.).

von Micha (Gast)


Lesenswert?

Эраст Петрович Фандорин schrieb:
> Löti schrieb:
>>Am einfachsten für Anfänger ist es sich an die Empfehlungen im
>>Datenblatt zu halten, auch bezüglich des genauen Typs des
>>Kondensators, hier sollte man nur direkt vergleichbare Typen anderer
>>Hersteller verwenden.
>
> Ja, Du nun erst noch....
>
> Wie haben es vor 25 Jahren Leute geschafft, ganze Rechner mit TTL-Chips
> betriebssicher zu bekommen -ganz ohne solche Ratgeber`?
>
> Erast Fandorin

So wie ich es sehe hast Du hier eine wichtige Aussage von Löti unter den 
Tisch gefegt und dadurch seine Aussage etwas aus dem Zusammenhang 
gerissen:
'Abblockkondensatoren, ihre Größe und Platzierung sind, *ab ca. 50MHz* 
Taktfrequenz, "Eine Wissenschaft für sich".'
Im Zeitalter von TTL, was ich fast noch vor der Z80 Ära einordnen 
möchte, 'tickten' die Rechnersysteme typischerweise mit Frequenzen bis 
max. 4 MHz, wenn überhaupt. Ich steck theoretisch nicht tief genug in 
der Materie um wirklich mitreden zu können, aber anhand von praktischen 
Erfahrungen traue ich mir zu zu sagen, dass bei den Atmel-Sachen micht 
denen ich so typischerweise herumbastel (Taktfrequenz bis 20MHz) für die 
Abblock-Kondensatoren die alte Regel, einen 100nF Kondi möglichst nahe 
an den GND/Vcc Pins zu platzieren, komplett ausreicht.
Bei diesen Diskussionen um Abblockkondensatoren, die es hier mehr als 
genug gibt, fehlt oft die Ansage um wie schnelle Systeme es eigentlich 
geht. Ich denke dadurch werden Aussagen oft unnötig kompliziert bzw. 
theoretisch...

von Lothar S. (loeti)


Lesenswert?

> Naja. Nach deiner Theorie dürfte kein BGA-FPGA funktionieren.

Die sind auf Multilayer aufgebaut mit zweiter Ebene als GroundPlate...

von Antimedial (Gast)


Lesenswert?

Lothar S. schrieb:
> Die sind auf Multilayer aufgebaut mit zweiter Ebene als GroundPlate...

Ja, richtig erkannt. Kein Ton in dem Artikel vom Einfluss der Innenlagen 
oder Einschränkungen auf zweilagige Platinen. Ohne die Betrachtung der 
Randbedingungen ergeben so absolute Aussagen wie "richtig" oder "falsch" 
überhaupt keinen Sinn. Mal ganz davon abgesehen: Was soll den "falscher" 
sein? Was bedeutet mehr als falsch?

von Carsten S. (dg3ycs)


Lesenswert?

Hi,

Falk Brunner schrieb:
> @Lothar Miller (lkmiller) (Moderator) Benutzerseite
>
>>vom Blockkondensator nie weit zum Silizium ist. Als Denkanstoss:
>>http://www.lothar-miller.de/s9y/categories/14-Entkopplung
>
> AHHH; schon wieder DIE Seite!!!

Wieso habe ich gerade genau dasselbe gedacht ;-)

Normalerweise ist Lothar hier ja in meinen Augen einer der kompetenteren 
Mitstreiter Aber bei seinen Meinungen zu den Abblockkondensatoren (und 
in etwas geringerem Maße zum Quarzlayout - zumindest soweit es da 
dieselbe Thematik betrifft) kann ich überhaupt nicht folgen.

Ich habe es -für Digitalschaltungen- auch so gelernt wie es in der oben 
verlinkten Cypress Appnote empfohlen wird  - und damit so ziemlich das 
Gegenteil von dem was Lothar empfiehlt.
Ja, genau zu diesem Thema hatten wir dann auch noch eine längere 
Diskussion nach der Vorlesung...

Aber es würde mich doch Interessieren ob es zu Lothars Meinung auch 
entsprechende ANs gibt die seine Layouttheorie stützen! Denn das diese 
Diskussion immer wieder ein heisses Eisen darstellt ist ja eine 
Tatsache.
Und das sich gerade im Leiterplattendesign viele Layoutdirektiven massiv 
gewandelt haben ist ja Fakt.

Erst vor kurzem hatte ich diese Diskussion wieder wo mir bei einem 
LAyout wo ich die Beschaltung sehr ähnlich wie in der Cypress Appnote 
(ohne DIESE zu kennen!)bis hin zur Zentralen Versorgung mit VCC von 
innen vorgenommen habe vorgeworfen würde das ich ja "überhaupt keine 
Ahnung" hätte.

Da mir als "fachliche" Begründung dann nur der "höherwertigere" 
Abschluss des Gegenübers geliefert wurde war das GEspräch für mich an 
diesem Punkt allerdings geistig beendet, habe mir meinen Teil gedacht 
und bzgl. fundierten Quellen -trotz ERNSTHAFTEN INTERESSE an diesen- 
nicht weiter nachgehakt.
(Hätte ich noch einen Sinn in einer weiteren Diskussion gesehen hätte 
ich aber aus dem Stand noch mindestens 2 AN von anderen Herstellern 
liefern können die ähnliches wie die mir bis heute nicht bekannte 
Cypress AN aussagen)

ICh halte es auch nicht für Ausgeschlossen, das es tatsächlich beide 
Layoutdirektiven gibt, die eine nur älter ist während die andere den 
aktuellen Stand der Technik wiederspiegelt.

Auch kann ich bis jetzt sicher nicht beschwören das ich, Falk, oder auch 
der Verfasser der Cypress AN nicht das "falsche" gelernt haben und die 
anderen nicht doch richtig liegen. Allerdings bin ich immer daran 
interessiert neues zu lernen und gerne jederzeit bereit wirkliche Fehler 
einzugestehen.

Aber dazu braucht man fundierte Quellen, falls es also AN oder Ähnliches 
gibt welche die von "Lothar" vertretene Philosophie empfehlen wäre ich 
sehr interessiert daran diese zu erhalten. Entweder als Link, oder falls 
nicht öffentlich im Netz zu finden als Email.

Ach ja:

Quellen für die Sichtweise GND so direkt wie Möglich:
Bildhinweise für die Lesefaulen ;-)

Analog Devices:
http://www.analog.com/static/imported-files/tutorials/MT-101.pdf

(Bild auf Seite 6)

Lattice:
http://www.latticesemi.com/~/media/Documents/ApplicationNotes/PT/PowerDecouplingandBypassFilteringforProgrammableDevices.PDF

Murata:
http://www.murata.com/products/catalog/pdf/c39e.pdf
(Bild seite 8...)

eine Meinung von TI...:
http://e2e.ti.com/blogs_/b/precisiondesignshub/archive/2013/08/13/the-decoupling-capacitor-is-it-really-necessary.aspx

Und das weiter oben schon verlinkte Cypress Dokument:
http://www.cypress.com/?docID=31807
(Bild auf Seite 4)

Gruß
Carsten

: Bearbeitet durch User
von Uwe Bonnes (Gast)


Lesenswert?

Wenn man einen einzelnen Chip mit einem einzigen Versorgungspinpaar hat, 
der kaum in sonstigen Kontakt zur Aussenwelt steht, dann ist Lothars 
Methode optimal. Normalerweise hat man aber mehrere VSS/VDD Pinpaare und 
auch auf den Leitungen zur Aussenwelt ist ordentlich was los. Dann macht 
die schlechte Ankopplung an GND Probleme...

von Achim S. (Gast)


Lesenswert?

vielleicht sehe ich es zu einfach, aber haben die beiden Ansätze nicht 
einfach unterschiedliche Optimierungsziele?

Lothars Ansatz mit der losen Ankopplung an die Versorgungsnetze 
minimiert die Rückwirkung auf diese Netze. Damit reduziert er die 
gegenseitige Beeinflussung von ICs über das Versorgungsnetz und ggf. 
auch Abstrahlungen, weil die HF-Ströme nur in einem sehr kleinen Kreis 
laufen. Dafür wird die Versorgung des betrachteten ICs HF-mäßig "nur" 
vom Kondensator gestützt und nicht zusätzlich aus den Versorgungslagen.

Der Ansatz mit der starken Kopplung an die Versorgungslagen optimiert 
die Versorgung des betrachteten ICs, weil parallel zum Kondensator auch 
die Lagen (mit Ihrer Kapazität) die Spannung stützen. Zudem können bei 
ICs mit mehreren Vcc/Vss-Pärchen unterschiedliche lokale Belastungen 
besser ausgeglichen werden. Die Versorgung des betrachteten ICs wird 
dadurch etwas stabiler. Der Preis dafür ist aber, dass die Potentiale 
auf den Lagen stärker wackeln und größere HF-Ströme auf den Lagen 
fließen. Man erhält ggf. mehr Abstrahlung und mehr gegenseitige 
Beeinflussung zwischen verschiedenen ICs.

Je nachdem, was einem wichtiger ist, darf man sich eins von beiden 
aussuchen...

von Falk B. (falk)


Lesenswert?

@ Achim S. (Gast)

>vielleicht sehe ich es zu einfach, aber haben die beiden Ansätze nicht
>einfach unterschiedliche Optimierungsziele?

>Je nachdem, was einem wichtiger ist, darf man sich eins von beiden
>aussuchen...

Weise deine Worte sind, frei von Enge dein Blick.

von Achim S. (Gast)


Lesenswert?

Falk Brunner schrieb:
> Weise deine Worte sind, frei von Enge dein Blick.

wow, das nehme ich in mein Poesiealbum auf. Danke ;-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Uwe Bonnes schrieb:
> Dann macht die schlechte Ankopplung an GND Probleme...
Urig...
Ich vertrete nicht eine "schlechte Ankopplung an die Masse", sondern ich 
sage nur, dass der Strom der im IC kursiert auch im IC bleiben soll und 
nicht auf die Massefläche gehört.
Dafür brauche ich keine Appnotes, es reicht gesunder Menschenverstand. 
Seit ich das so mache habe ich keine Scherereien mit EMV-Prüfungen...

Achim S. schrieb:
> vielleicht sehe ich es zu einfach, aber haben die beiden Ansätze nicht
> einfach unterschiedliche Optimierungsziele?
Wenn ich viele Signale habe, die nach aussen gehen, dann braucht deren 
Strom auch einen niederimpedanten Rückstrompfad. Und dieser Massepfad 
(oder bei differentiellen Signalen die gepaarte Leitung) sollte 
idealerweise in in unmittelbarer Nähe zum Hinleiter sein. Eine 
durchgehende Massefläche sorgt dafür, dass jeder Hinleiter einen 
passenden Rückleiter hat und ist prinzipiell gut für ein stabiles 
Design.

> Der Ansatz mit der starken Kopplung an die Versorgungslagen optimiert
> die Versorgung des betrachteten ICs, weil parallel zum Kondensator auch
> die Lagen (mit Ihrer Kapazität) die Spannung stützen
Richtig, da bekommst du bei üblichem Lagenaufbau (Versorgung in den 
Mittellagen) noch ein paar pF dazu.

Carsten Sch. schrieb:
> Aber es würde mich doch Interessieren ob es zu Lothars Meinung auch
> entsprechende ANs gibt die seine Layouttheorie stützen!
Es taucht fast überall auf. Nur eben "nur" im Text:
"place decoupling capacitors as close as possible to the supply pins".
Und GND ist für mich ein Versorgungspin (u.A. weil er im Datenblatt 
üblicherweise auch in der Abteilung "Supply Pins" auftaucht)
Auch im verlinkten 
http://www.analog.com/static/imported-files/tutorials/MT-101.pdf ist 
dieser Satz ebenfalls zu finden:
1
A smaller cap (typ. 0.01 μF – 0.1 μF) as physically close to 
2
the power pins of the chip as is possible.
Lattice:
1
Locate de-coupling capacitors as close as possible to the device 
2
power pins and run short, wide traces to vias when they are required.
TI:
1
It is very important to place all the decoupling capacitors 
2
as close to the pins as possible
Das ist für mich nicht schwer zu verstehen. Dazu brauche ich kein Bild. 
Insbesondere dann nicht, wenn ich die Ursachen für diese Forderung 
kenne...

> Quellen für die Sichtweise GND so direkt wie Möglich:
> Bildhinweise für die Lesefaulen ;-)
> Analog Devices:
> http://www.analog.com/static/imported-files/tutorials/MT-101.pdf
Solche ICs, wie sie dort im Bild 6 auf Seite 6 gezeigt werden, gibt es 
bei einem vernünftigen Chip-Design heute nicht mehr. Es ist eher so, 
dass wie dort im Bild 15 auf Seite 11 die Versorgungs-ICs so "geschickt" 
nebeneinander platziert sind, dass ein falsches Anschließen fast 
unmöglich wird.

Antimedial schrieb:
> Ohne die Betrachtung der Randbedingungen ergeben so absolute Aussagen
> wie "richtig" oder "falsch" überhaupt keinen Sinn.
Dem kann ich nur zustimmen. Ich weiß sehr genau, wann ich vorrangig mit 
lokalen und wann viel mit IO-Strömen zu tun habe (das ist ja mein 
Design). Und dem entsprechend lege ich die Versorgung aus. Udn natürlich 
fahre ich bei BGAs erst auf dei Versorgungslage und dann auf die andere 
Seite zum Blockkondensator, weil diese Lösung da einfach die Beste 
ist...

Falk Brunner schrieb:
> @Lothar Miller (lkmiller) (Moderator) Benutzerseite
>>> Bei gleicher Bauform kann man gleiche parasitäre Induktivität erwarten.
>> Wie kommst du darauf? Eine hohe Kapazität bedeutet bei gleicher Technik
>> immer mehr Fläche/Lagen und damit eine grössere Leitungslänge im
>> Kondensator.
> Nanana! Du hast dabei wohl noch die uralten, gewickelten Elkos im Kopf.
> Da bist du aber schief gewickelt! ;-)
Das hat mit gewickelt erst mal nichts zu tun. Aber schon die 
gewickelten Folienkondensatoren wurden genau so aufgebaut:
> ... bestehen aus VIEEEEELEN Schicten Isolator und
> Leiter, die alle PARALLEL an die Kontaktflächen geführt werden,
Ein Kondensator, der in der selben Technologie ausgeführt ist und 
zehnmal mehr Kapazität hat, muss auch zehnmal mehr Fläche haben. Und 
zehnmal mehr Fläche bedeuten längere Leitungswege. Und längere Leitung 
bedeutet mehr Induktivität. Ich habe hier bewusst nur qualitative 
Aussagen gemacht nichts vom absoluten Betrag oder einer relativen 
Änderung dieser Induktivität geschrieben.

Achim S. schrieb:
> Falk Brunner schrieb:
>> Weise deine Worte sind, frei von Enge dein Blick.
> wow, das nehme ich in mein Poesiealbum auf. Danke ;-)
Sieh dir mal die Doppeltrilogie von Star Wars an. Meister Yoda hat 
solche Worte. Und such mal auf Utube nach "Yoda Tomtom". Der ist auch 
gut...

: Bearbeitet durch Moderator
von Carsten S. (dg3ycs)


Lesenswert?

Hi,

Lothar Miller schrieb:
> Uwe Bonnes schrieb:
>> Dann macht die schlechte Ankopplung an GND Probleme...
> Urig...
> Ich vertrete nicht eine "schlechte Ankopplung an die Masse", sondern ich
> sage nur, dass der Strom der im IC kursiert auch im IC bleiben soll und
> nicht auf die Massefläche gehört.

Ja, aber das bedeutet doch zwangsläufig das du den IC etwas weiter von 
der Massefläche entfernst. Entweder du schaffst einen Ausgleichspfad der 
vor dem erstkontakt mit der Massefläche liegt, dann kann deine Forderung 
halbwegs erfüllt werden aber du hast eine schlechte(re) Ankopplung des 
IC an Masse die Probleme verursacht, oder die Ausgleichsströme haben 
Zwangsläufig Kontakt mit der Massefläche was aber in meinen Augen eben 
NICHT das HAuptproblem ist wenn die MAsseführung stimmt.

> Dafür brauche ich keine Appnotes, es reicht gesunder Menschenverstand.
Nun ja, der gesunde Menschenverstand ist da so eine Sache, das "gehirn" 
sucht nach einem plausiblen Erklärungsmuster und hält dieses dann so 
lange für die Wahrheit bis es wiederlegt ist. Da kann es schon die eine 
oder andere (unbewusste) Selbsttäuschung geben.
Das ist dann wie mit den tausenden Falschfahrern auf der Autobahn.

Wie gesagt: ICh sage nicht das deine Erklärung falsch sein muss, aber 
sie steht nun einmal im Gegensatz zu den mir bekannten AN der 
Halbleiterhersteller. Wenn du fundierte Quellen für deine Sichtweise 
hast dann immer her damit.

> Seit ich das so mache habe ich keine Scherereien mit EMV-Prüfungen...
Mag sein, aber vielleicht hast du auch nur den Teufel mit dem Belzebub 
ausgetrieben. Oder das verschwinden deiner Probleme beruht rein auf 
einen Seiteneffekt deiner Methode, nämlich das damit die vom Leiter 
umschlossene Fläche nahezu zwingend sehr klein ist während man bei der 
anderen Methode auch große Fehler machen kann die dann zu heftiger 
Abstrahlung führen können.
BTW: Probleme bei EMV Prüfungen hatte ich noch nie. War zwar auch mal 
nicht alles sofort perfekt, aber das ist dann schon bei den eigenen 
Vormessungen herausgekommen.

> Wenn ich viele Signale habe, die nach aussen gehen, dann braucht deren
> Strom auch einen niederimpedanten Rückstrompfad. Und dieser Massepfad
> (oder bei differentiellen Signalen die gepaarte Leitung) sollte
> idealerweise in in unmittelbarer Nähe zum Hinleiter sein. Eine
> durchgehende Massefläche sorgt dafür, dass jeder Hinleiter einen
> passenden Rückleiter hat und ist prinzipiell gut für ein stabiles
> Design.
Das ist ja auch vollkommen unebstritten.
Aber ich und wohl auch andere verstehend die Zeichnungen auf deiner 
Seite so das du Empfiehlst:
Massepin des IC, davon erst zum Bypass C,erst dann zur HAuptmasse.
aber mit einer guten MAsseführung und direkter Verbindung des IC zur 
MAsse, unabhängig von einer direkten Verbindung "Neg-anschluss des 
Bypass zu mit GND Pin des IC" kann man diese Forderung ebenfalls 
erfüllen.

Auch bei +Ub (Vcc) lese ich das du unbedingt die Reihenfolge:
+Ub -> BypassC -> Vcc Pin des IC als einzig richtiges Ansiehst.
Die mir bekannten AN und der Inhalt der von mir besuchten Vorlesung 
lassen aber explizit die Reihenfolge +Ub -> Vcc -> BypassC als 
gleichwertige und je nach Layouterfordernisse teilweise sogar überlegene 
Reihenfolge zu.

> Carsten Sch. schrieb:
>> Aber es würde mich doch Interessieren ob es zu Lothars Meinung auch
>> entsprechende ANs gibt die seine Layouttheorie stützen!
> Es taucht fast überall auf. Nur eben "nur" im Text:
> "place decoupling capacitors as close as possible to the supply pins".
> Und GND ist für mich ein Versorgungspin (u.A. weil er im Datenblatt
> üblicherweise auch in der Abteilung "Supply Pins" auftaucht)
> Auch im verlinkten
> http://www.analog.com/static/imported-files/tutori... ist
> dieser Satz ebenfalls zu finden:A smaller cap (typ. 0.01 μF – 0.1 μF) as
> physically close to
> the power pins of the chip as is possible.
> Lattice:Locate de-coupling capacitors as close as possible to the device
> power pins and run short, wide traces to vias when they are required.
> TI:It is very important to place all the decoupling capacitors
> as close to the pins as possible
> Das ist für mich nicht schwer zu verstehen. Dazu brauche ich kein Bild.

Rein aus dem Text KÖNNTE man tatsächlich mit ein wenig Phantasie auch 
deine Sichtweise ableiten. Und es ist auch sicher richtig das man nicht 
immer ein Bild braucht um zusammenhänge zu verstehen.

ABER: Wenn der Ersteller nun aber ein Bild anfügt um seien Aussagen zu 
verdeutlichen darf man das dann nicht einfach Ignorieren und muss 
erkennen das der Autor doch etwas anderes gemeint hat wie man vertanden 
zu haben glaubt. Und das ist hier eindeutig der Fall.

Das bedeutet sicher nicht das deshalb die Aussage auch richtig ist, aber 
dann sollte man zumindest eingestehen das die der eigenen Ansicht 
wiederspricht und möglichst belegen warum dies so ist.

> Insbesondere dann nicht, wenn ich die Ursachen für diese Forderung
> kenne...

Noch einmal: Bis jetzt sieht es für mich nur so aus DAS DU GLAUBST die 
Ursache zu kennen... Zumal die Forderung ja -VERDEUTLICHT DURCH DIE 
BILDER- etwas ganz anderes aussagt als du zu verstanden haben glaubst.
(Im Prinzip ist das jetzt sogar ein Paradebeispiel für die unbewusste 
Selbsttäuschung (s.o.) du verstehst es so das es zu deiner vorgefassten 
Meinung passt. Und das passiert uns allen leider viel häufiger als wir 
meinen)

>> Quellen für die Sichtweise GND so direkt wie Möglich:
>> Bildhinweise für die Lesefaulen ;-)
>> Analog Devices:
>> http://www.analog.com/static/imported-files/tutori...
> Solche ICs, wie sie dort im Bild 6 auf Seite 6 gezeigt werden, gibt es
> bei einem vernünftigen Chip-Design heute nicht mehr. Es ist eher so,
> dass wie dort im Bild 15 auf Seite 11 die Versorgungs-ICs so "geschickt"
> nebeneinander platziert sind, dass ein falsches Anschließen fast
> unmöglich wird.
Ob ein Chip Design wie dieses nun wirklich immer unvernünftig ist oder 
nicht sei mal dahingestellt. Zumindest ich entwerfe Schaltungen und 
keine Chips. Und da muss ich dann nehmen was mir der MArkt anbietet. Und 
Bausteine mit diesem Pinning sind noch viele im Umlauf...
Mal ganz davon abgesehen das es nur ein Beispiel zu verdeutlichung ist - 
wo dann gerne mal etwas ins extremere gegriffen wird um das Verständniss 
zu erleichtern.


> @Lothar Miller (lkmiller) (Moderator) Benutzerseite
> Ein Kondensator, der in der selben Technologie ausgeführt ist und
> zehnmal mehr Kapazität hat, muss auch zehnmal mehr Fläche haben. Und
> zehnmal mehr Fläche bedeuten längere Leitungswege. Und längere Leitung
> bedeutet mehr Induktivität. Ich habe hier bewusst nur qualitative
> Aussagen gemacht nichts vom absoluten Betrag oder einer relativen
> Änderung dieser Induktivität geschrieben.
>
> Achim S. schrieb:
>> Falk Brunner schrieb:
>>> Weise deine Worte sind, frei von Enge dein Blick.
>> wow, das nehme ich in mein Poesiealbum auf. Danke ;-)
> Sieh dir mal die Doppeltrilogie von Star Wars an. Meister Yoda hat
> solche Worte. Und such mal auf Utube nach "Yoda Tomtom". Der ist auch
> gut...

Achim S. schrieb:
> Lothars Ansatz mit der losen Ankopplung an die Versorgungsnetze
> minimiert die Rückwirkung auf diese Netze. Damit reduziert er die
> gegenseitige Beeinflussung von ICs über das Versorgungsnetz und ggf.
> auch Abstrahlungen, weil die HF-Ströme nur in einem sehr kleinen Kreis
> laufen.
Dem würde ich soweit ja noch uneingeschränkt Zustimmen, lediglich das 
"ggf." würde ich sehr sehr groß und Fett schreiben.

> Dafür wird die Versorgung des betrachteten ICs HF-mäßig "nur"
> vom Kondensator gestützt und nicht zusätzlich aus den Versorgungslagen.
Und das ist dann leider genau die Krux an der Sache. Die 
Ausgleichsströme sind vom Betrag über die Zeit zwar in der Regel eher 
gering, können aber kurzzeitig doch erstaunliche Werte annehmen.
Da diese Impulse bei Digitalschaltungen durch Umschalten zwischen Zwei 
Betriebszuständen der internen Transistoren entstehen kann da eine 
schlechte Versorgungsspannung zu drastischen Problemen führen.
Denn die Zustände der Gatter sind bei MOS Schaltungen alleine von den 
Pegeln abhängig. Wenn nun ein Element Schaltet, durch den ausgelösten 
Stromimpuls dann aber kurzzeitig die Masse wegwandert oder die 
Versorgungsspannung einbricht, kann in diesen Schaltmomenten zu einem 
mehrfachen Umschalten (Oszillation) der Elemente kommen bis sich wieder 
ein stabiler Zustand eingestellt hat.
Wenn man jetzt noch bedenkt das in getakteten Systemen immer sehr Viele 
Elemente Zeitgleich schalten...

Es kann -aber muss natürlich nicht- sein das durch diesen Effekt viel 
mehr EMV Störungen überhaupt erst entstehen als man durch die größe 
entkopplung je vermeiden könnte.

> Je nachdem, was einem wichtiger ist, darf man sich eins von beiden
> aussuchen...

Wie geschrieben, es ist leider nicht ganz so einfach... Aber du hast 
schon recht, ein Mitdenkender Entwickler sollte immer alles in der 
aktuellen Situation bewerten und danach erst die endgültige Entscheidung 
treffen.
So würde ich bei Analog-IC beispielsweise sehr schnell zu Lothars 
Auslegung tendieren ausser die Ankopplung von Ub und GNd wird merklich 
schlechter.
Bei getakteten IC aber liegt der Fokus ganz klar auf einer möglichst 
direkten GND verbindung von IC und Bypass C sowie einer möglichst kurzen 
Ankopplung des Vcc Pins an den Bypass C.

Aber wie gesagt, ich lasse mich auch gerne eines besseren belehren, nur 
möchte ich dafür halt mehr als nur eine "Meinung" haben wenn für die 
jetzige Sichtweise bereits harte Fakten von verschiedenen Seiten auf dem 
Tisch liegen. (Und die Aussage eines großen IC HErstellers hat für mich 
erst einmal erheblich mehr Gewicht als eine Einzelmeinung, egal von wem! 
Wenn dann noch unabhänig voneinander mehrere Hersteller dasselbe sreiben 
erst recht)

Gruß
Carsten

von Antimedial (Gast)


Lesenswert?

Lothar Miller schrieb:
> Ich vertrete nicht eine "schlechte Ankopplung an die Masse", sondern ich
> sage nur, dass der Strom der im IC kursiert auch im IC bleiben soll und
> nicht auf die Massefläche gehört.

Das ist aber erst einmal nur eine Meinung. Gängiger ist aber die 
Ansicht, dass man die Masseflächen für hochfrequente Ströme aktiv nutzt. 
Vor allem weil Ströme nicht unbedingt im IC bleiben. Da muss man nur 
eine schnelle Schnittstelle haben (z.B. DDR-RAM), schon ist es vorbei. 
Da müsste man also zumindest zwischen IO- und Corespannung 
unterscheiden.

Lothar Miller schrieb:
> Antimedial schrieb:
>> Ohne die Betrachtung der Randbedingungen ergeben so absolute Aussagen
>> wie "richtig" oder "falsch" überhaupt keinen Sinn.
> Dem kann ich nur zustimmen. Ich weiß sehr genau, wann ich vorrangig mit
> lokalen und wann viel mit IO-Strömen zu tun habe (das ist ja mein
> Design).

Wieso schreibst du dann einen Artikel, der faktisch einfach falsch ist? 
Der Artikel zeigt ein Szenario, das unter Umständen richtig sein kann, 
aber auch falsch. Je nachdem, was man wirklich erreichen will. Die 
Begriffe "richtig" und "falsch" sind da einfach fehl am Platze und 
verwirren Anfänger nur.

Lothar Miller schrieb:
> Seit ich das so mache habe ich keine Scherereien mit EMV-Prüfungen...

Was nicht heißt, dass dein Verfahren richtig ist. Wie gesagt, bei 
Designs mit BGA-FPGA funktioniert deine Methode einfach nicht. Wenn man 
dein Artikel ernst nehmen würde, könnte man also keine FPGA mit BGA bei 
höheren Frequenzen betreiben. Die Erfahrung zeigt aber etwas anderes.

von Urlauber (Gast)


Lesenswert?

Hm, im Link von Carsten Sch. "eine Meinung von TI...:"
http://e2e.ti.com/blogs_/b/precisiondesignshub/archive/2013/08/13/the-decoupling-capacitor-is-it-really-necessary.aspx
wird eher die Sichtweise von Lothar M. unterstützt.

Also das die Versorgungsleitungen NICHT zwischen IC und Bypass Kerko 
geroutet werden sollen ...

lg
Gerhard

von Carsten S. (dg3ycs)


Lesenswert?

Urlauber schrieb:
> Hm, im Link von Carsten Sch. "eine Meinung von TI...:"
> 
http://e2e.ti.com/blogs_/b/precisiondesignshub/archive/2013/08/13/the-decoupling-capacitor-is-it-really-necessary.aspx
> wird eher die Sichtweise von Lothar M. unterstützt.
Ähhh - NEIN!
>
> Also das die Versorgungsleitungen NICHT zwischen IC und Bypass Kerko
> geroutet werden sollen ...

Moment: Das VERSORGUNSleitung NICHT zwischen IC und Bypass C geroutet 
werden sollen ist völlig richtig, das ist auch bei den anderen AN so 
nicht vorgesehen. Allerdings ist hier mit "versorgungsleitung" nur +Ub 
bzw. bei Symetrischer Versorgung ggf. auch noch -Ub gemeint.
MASSE ist aber dort nicht als Versorgungsleitung definiert.

Sieht man ja auch am Designvorschlag, bei +Ub ist das anschließen in der 
Mitte eindeutig als unvorteilhaft gekennzeichnet, bei GND ist das 
direkte Anschließen auf GND ohne Rücksicht auf den Kerko aber empfohlen.

Also noch einmal:
ICh VERSTEHE Lothars vorschlag so:
(Falls ich falsch liege bitte Korrigieren)

Er Empfiehlt einzig folgendes Layout, auch wenn eine Groundplane 
vorhanden ist:

                            --[Vcc Pin IC]
                          /
     [+Ub]---[********]--
             [********]
             [        ]
             [Bypass C]      [          ]
             [        ]
             [********]
     [GND]---[********]--
                          \
                           --[GND Pin IC]


Laut den AN sollte man aber eher auf diese Layoutvarianten zurückgreifen 
wenn sich dadurch direktere Versorgungsanbindungen ergeben können:

Variante 1:

     [+Ub]---[********]---[Vcc Pin IC]
             [********]
             [        ]
             [Bypass C]
             [        ]
             [********]   [          ]
     [GND]---[********]



                  [GND]---[GND Pin IC]

Oder aber, gerade bei vielen VCC Eingängen auch Variante 2:

        [*******]---[Vcc Pin IC]--[+Ub]--[Vcc Pin IC]---[*******]
        [*******]                                       [*******]
        [       ]                                       [       ]
        [BypassC]                                       [BypassC]
        [       ]                                       [       ]
        [*******]   [          ]         [          ]   [*******]
 [GND]--[*******]                                       [*******]--[GND]



           [GND]---[GND Pin IC]


Wenn möglich vermeiden sollte man hingegen nach ALLEN Meinungen dies:

             [********]---[+Ub]---[Vcc Pin IC]
             [********]
             [        ]
             [Bypass C]

Legende:
In Allen Beispielen sei [GND] jetzt ein Via auf einem GND Layer, [+Ub] 
ein Via entweder auf einen +Ub Layer (4+ Lagen) oder einer möglichst 
niederimpedanten +Ub Versorgungsleiterbahn.

Das "---" soll eine Leiterbahn darstellen die in allen Fällen so kurz 
wie möglich ausfallen sollte - Also nicht das einer jetzt die "Striche" 
zählt...

Gruß
Carsten

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ist es nicht müßig, um etwas zu diskutieren, was man in irgenwelchen 
Appnotes findet, aber selber auch überlegen kann? (Man kann übrigens 
kaum glauben, wieviel voneinander abgeschrieben wird...)

Nochmal in Kurzform:
Mit einer durchgängigen Masselage und möglichst direkt angeschlossenen 
GND Pins ist die Funktion einer Schaltung einfacher sicherzustellen 
(Thema z.B. Groundbouncing). Mit optimal angeschlossenen und richtig 
ausgewählten Blockkondensatoren wird die Störstrahlung minimiert.
Und was dieser zwei Anforderungen  dürfte jetzt die Hauptaufgabe einer 
Appnote sein?

@Carsten: leider kann ich die ASCII Grafiken hier auf dem Handy nicht so 
richtig interpretieren...

Carsten Sch. schrieb:
> Moment: Das VERSORGUNSleitung NICHT zwischen IC und Bypass C geroutet
> werden sollen ist völlig richtig, das ist auch bei den anderen AN so
> nicht vorgesehen.
Du hast dir das Bild in der von dir zuallererst verlinkten Appnote 
angesehen? Wo ist da nochmal die Versorgung angeschlossen:
Carsten Sch. schrieb:
> Analog Devices:
> http://www.analog.com/static/imported-files/tutorials/MT-101.pdf
> (Bild auf Seite 6)

Carsten Sch. schrieb:
> (Und die Aussage eines großen IC HErstellers hat für mich erst einmal
> erheblich mehr Gewicht als eine Einzelmeinung, egal von wem! Wenn dann
> noch unabhänig voneinander mehrere Hersteller dasselbe sreiben erst
> recht)
So spart man sich das "selber Denken". Ich möchte nur Denkanstösse 
genen. Und die haben offenbar schon Einigen geholfen.

Antimedial schrieb:
> Wieso schreibst du dann einen Artikel, der faktisch einfach falsch ist?
> Der Artikel zeigt ein Szenario, das unter Umständen richtig sein kann,
> aber auch falsch. Je nachdem, was man wirklich erreichen will. Die
> Begriffe "richtig" und "falsch" sind da einfach fehl am Platze und
> verwirren Anfänger nur.
Wenn mein Tipp zu 70% zutrifft, weil die uCs oder sonstige ICs vorrangig 
mit lokalen hochfrequenten Strömen und niederfrequenten externen Strömen 
zu tun haben (intern arbeitet der Controller mit zig MHz, nach aussen 
sind die Signale um Zehnerpotenzen niedriger, das sollte man auch im 
Hinterkopf behalten), dann reicht mir diese Trefferquote für eine 
pauschale Aussage. Und die restlichen 30%, die ein DDR RAM anzubinden 
haben, die müssen dann über die IO Ströme nochmal extra nachdenken...

Aber ich werde auf jeden Fall diesen Thread hier auch verlinken.

von Carsten S. (dg3ycs)


Lesenswert?

Hi,

Lothar Miller schrieb:
> Ist es nicht müßig, um etwas zu diskutieren, was man in irgenwelchen
> Appnotes findet, aber selber auch überlegen kann? (Man kann übrigens
> kaum glauben, wieviel voneinander abgeschrieben wird...)

Wenn mehrere Meinungen existieren ist ist eine Diskussion auf jeden Fall 
gerechtfertigt. Und ANs dürfen sicher nicht das selbst Denken ersetzen. 
Wer so vorgeht ist in der Entwicklung definitiv fehl am Platz.
Und es wird sicher auch so einiges Abgeschrieben, aber gerade hier sind 
teilweise konkrete Messwerte und Ausführliche Erklärungen mit von der 
Partie die für mich nun einmal sehr schlüssig klingen.

Aber sie liefern oft schon einmal Ausgangswerte. Und der eigene 
Gedankendang ist nur so gut wie Annahmen auf denen er beruht. Und wenn 
man zu einem Schluss kommt der allen anderen Meinungen wiederspricht 
sollte man zumindest mehr Liefern können als ein "Ich habe aber doch 
recht" verbunden mit kräftigen Aufstampfen mit dem Fuss.

>
> Nochmal in Kurzform:
> Mit einer durchgängigen Masselage und möglichst direkt angeschlossenen
> GND Pins ist die Funktion einer Schaltung einfacher sicherzustellen
> (Thema z.B. Groundbouncing). Mit optimal angeschlossenen und richtig
> ausgewählten Blockkondensatoren wird die Störstrahlung minimiert.
Richtig, und wir diskussieren jetzt darum was die beste Anschlussmethode 
für die Blockkondensatoren ist die BEIDE Erfordernisse Maximal erfüllt. 
Und ich, andere und auch die AN behaupten eine Methode die deiner 
Widerspricht.

> Und was dieser zwei Anforderungen  dürfte jetzt die Hauptaufgabe einer
> Appnote sein?

BEIDES! Denn eine Minimierte Störstrahlung ist definitiv ein Kriterium 
von Ordnugnsgemäße Funktion. Es liegt im übrigen genauso im Interesse 
des HErstellers das ein Optimum aus Störstrahlungsunterdrückung und 
sicherer Funktion gefunden wird, denn was nützt dem HErsteller das er 
sagen kann -Mein IC funktioniert aber- wenn die Kunden es trotzdem nicht 
kaufen weil sie es wegen der EMV nicht verwenden können.

Davon abgesehen sind solche Effekte wie Groundbouncing doch gerade mit 
die Hauptursache dafür das überhaupt erst EMV entsteht. Darum geht es 
doch, durch eine gute Anbindung an +Ub und GND wird SOWOHL die 
Funktionsfähigkeit optimiert ALS AUCH verhindert das eine Menge 
Störstrahlung überhaupt entsteht. Und was nicht entsteht muss gar nicht 
erst abgefangen werden.
Es war sicher mal anders, aber bei den heutigen schnellen 
Digitalschaltkreisen ist die schlechte Ankopplung definitiv mit das 
größte Problem in EMV Dingen.

Mal ganz davon abgesehen das Murata -die mit dem ausführlichsten 
Dokument- ja überhaupt kein IC Hersteller ist sondern ein Hersteller von 
Kapazitäten (und Induktivitäten) Denen könnte es also ziemlich egal sein 
wie die Leiterbahnen liegen da deren C ja so oder so gekauft werden.
Woran die aber sicher Interessiert sind ist das die Kunden beim Einsatz 
derer Produkte den Maximalen Erfolg haben denn zufriedene Kunden sind 
erneut kaufende Kunden...
Soviel zu deiner Verschwörungstheorie!

Und NOCH EINMAL:
ICh bin gerne bereit meinen Standpunkt zu überdenken, aber dazu brauche 
ich vernünftige Fakten und Messwerte. Alles was ich aber in dieser 
Richtugn habe sowie meine eigenen Erfahrungen sprechen aber bis jetzt 
für meinen Standpunkt.

> Carsten Sch. schrieb:
>> Moment: Das VERSORGUNSleitung NICHT zwischen IC und Bypass C geroutet
>> werden sollen ist völlig richtig, das ist auch bei den anderen AN so
>> nicht vorgesehen.
> Du hast dir das Bild in der von dir zuallererst verlinkten Appnote
> angesehen? Wo ist da nochmal die Versorgung angeschlossen:
> Carsten Sch. schrieb:
>> Analog Devices:
>> http://www.analog.com/static/imported-files/tutorials/MT-101.pdf
>> (Bild auf Seite 6)

Aua - da hast du recht, das ist wirklich extremst ungünstig gezeichnet, 
auch wenn es ja nur eine Schemazeichung ist. (tatsächlich handelt es 
sich bei den Besprochenen IC ja um ein TQFP100.
Allerdings muss man bei der Schemazeichnung zugute halten das es 
definitiv Missverständlich ist, aber auch in der Zeichnung das kritische 
Leiterbahnstück praktisch nicht vorhanden ist. ISt aber etwas 
Auslegungssache.

>
> Carsten Sch. schrieb:
>> (Und die Aussage eines großen IC HErstellers hat für mich erst einmal
>> erheblich mehr Gewicht als eine Einzelmeinung, egal von wem! Wenn dann
>> noch unabhänig voneinander mehrere Hersteller dasselbe sreiben erst
>> recht)
> So spart man sich das "selber Denken". Ich möchte nur Denkanstösse
> genen. Und die haben offenbar schon Einigen geholfen.

Wie gesagt: Wer sich von AN das Denken abnehmen lässt ist in der 
entwicklung falsch. Aber AN liefern Grundlagen für eigene Überlegungen.
In meinen FAll finde ich die Schaltungsvorschläge plausibel und finde 
auch durch meine eigenen Überlegungen deinen Vorschlag bei schnellen 
Digital-IC (Und darunter fallen Mittlerweile auch in Bastlerkreisen 
verbreitete µC und AD wandler) problematischer.
Falls ich jetzt mit dieser Meinung alleine dastehen würde, dann würde 
ich sicher noch einmal sehr gründlich nachdenken ob wirklich alle 
anderen Falsch liegen oder ich nicht doch einen Denkfehler vorliegt.

Man darf ja auch nicht vergessen das erfahrungsgemäß eine Vielzahl von 
"Erklärungen" nach schweren Fehlern mit den Worten "Ich habe aber 
gedacht..." beginnt.

>
> Antimedial schrieb:
>> Wieso schreibst du dann einen Artikel, der faktisch einfach falsch ist?
>> Der Artikel zeigt ein Szenario, das unter Umständen richtig sein kann,
>> aber auch falsch. Je nachdem, was man wirklich erreichen will. Die
>> Begriffe "richtig" und "falsch" sind da einfach fehl am Platze und
>> verwirren Anfänger nur.
> Wenn mein Tipp zu 70% zutrifft, weil die uCs oder sonstige ICs vorrangig
> mit lokalen hochfrequenten Strömen und niederfrequenten externen Strömen
> zu tun haben (intern arbeitet der Controller mit zig MHz, nach aussen
> sind die Signale um Zehnerpotenzen niedriger, das sollte man auch im
> Hinterkopf behalten), dann reicht mir diese Trefferquote für eine
> pauschale Aussage. Und die restlichen 30%, die ein DDR RAM anzubinden
> haben, die müssen dann über die IO Ströme nochmal extra nachdenken...

Wenn dein Tipp wirklich für 70% der Fälle zutreffen würde, dann hättest 
du recht. Aber zumindest ICH bin der Meinung das dies Gerade NICHT der 
Fall ist.

Mal ganz davon abgesehen das die Ordnungsgemäße Funktion einer Schaltung 
immer das oberste Gebot sein sollte und die EMV Verträglichkeit zwar auf 
jeden Fall sichergestellt werden muss - aber von der Priorität erst 
hinter der Funktion kommen KANN.

Denn was nützt die Störärmste Schaltung wenn sie nicht Funktioniert.
Da kann ich das Gehäuse auch gleich ganz leer lassen und dieses als 
reines Designobjekt verkaufen. Denn das ist sicher der beste EMV Schutz.

> Aber ich werde auf jeden Fall diesen Thread hier auch verlinken.
Das ist schon mal gut.

Und wie gesagt: Ich bin jederzeit GERNE bereit mich eines besseren 
belehren zu lassen. Aber halt nur auf Basis von fundierten Fakten wie 
Messwerten o.ä. welche die vorhanden Daten wiederlegen. Auch wenn es 
sicher manchmal solch einen Eindruck macht geht mir bei solchen 
Diskussionen nichts um recht haben, sondern darum das ICH es bei jeder 
weiteren Schaltung so umsetze wie es tatsächlich am besten ist. Also ums 
lernen. Zudem ist mir gut bewusst das niemand allwissend ist, ich erst 
recht nicht, und das sicher noch so einige Annahmen im Bereich der 
Elektronik von mir falsch sind.

Entweder weil ich mal das eine oder andere falsch verstanden habe, oder 
aber weil sich die Ausgangslage durch geänderte Technologie verändert 
hat.
(Wie deutlich höhere Frequenzen oder insbesondere bei dieser Frage durch 
den weitgehenden Ersatz von TTL Technologie durch MOS Technologie in 
getakteten Digitalschaltungen)

Und das wird sicher bei NIEMANDEN anders sein.

Gruß
Carsten

von Antimedial (Gast)


Lesenswert?

Lothar Miller schrieb:
> Wenn mein Tipp zu 70% zutrifft, weil die uCs oder sonstige ICs vorrangig
> mit lokalen hochfrequenten Strömen und niederfrequenten externen Strömen
> zu tun haben (intern arbeitet der Controller mit zig MHz, nach aussen
> sind die Signale um Zehnerpotenzen niedriger, das sollte man auch im
> Hinterkopf behalten), dann reicht mir diese Trefferquote für eine
> pauschale Aussage.

Eine 70%-Lösung (wenn es denn eine wäre...) als ausreichend für eine 
pauschale Aussage zu verkaufen, halte ich bestenfalls für sehr, sehr 
gewagt. Nein, eigentlich ist es einfach nur falsch und der Artikel damit 
Schrott. Allein weil du etwas als ein "schlechtes" Beispiel verkaufst, 
das keines ist (wo kommen beim TL082 bitte die hochfrequenten Störungen 
her?). Und noch einmal ganz langsam für dich: Selbst bei High-Speed-IC 
darf und muss man häufig die Masselagen mit verwenden. Dein Vorschlag 
ist ein Beispiel, wie man es bei bestimmten ICs machen kann, nämlich µC 
in TQFP, bei anderen funktioniert es wieder gar nicht (BGA, Logikgatter 
im SOIC, bei denen die Versorgungspins gegenüber liegen). Und du hast 
dich eben nur auf einen einzigen Aspekt versteift, ohne das Gesamtbild 
zu sehen. Vermutlich liegen deine EMV-Probleme an einem schlechten 
Design deiner Masselagen oder ähnlichem.

Dazu kommt, (wie schon erwähnt) dass dein Vorschlag bei weitem nicht 
optimal ist (vorsichtig ausgedrückt).

Ich verstehe schon, was dahinter steckt. Man muss sich ja einen Namen 
machen, und da muss man bei Anfängern möglichst kompetent herüber 
kommen. Das funktioniert am besten, wenn man pauschale Aussagen und 
Absolutismen verwendet. Der Anfänger kann es ja eh nicht wissen.

von Mehmet K. (mkmk)


Lesenswert?

Antimedial schrieb:
> Ich verstehe schon, was dahinter steckt. Man muss sich ja einen Namen
> machen, und da muss man bei Anfängern möglichst kompetent herüber
> kommen. Das funktioniert am besten, wenn man pauschale Aussagen und
> Absolutismen verwendet. Der Anfänger kann es ja eh nicht wissen.

Mann o Mann, Du verzehrst Dich ja geradezu in Deinem Neid!

von Эраст Петрович Фандорин (Gast)


Lesenswert?

@Mehmet
Wenn Du es nicht getan hättest, dann wäre dieser Satz von mir gekommen.

Erast Fandorin

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Antimedial schrieb:
> Ich verstehe schon, was dahinter steckt.
> Man muss sich ja einen Namen machen...
Was hätte ich denn davon?

> Dein Vorschlag ist ein Beispiel, wie man es bei bestimmten ICs machen
> kann, nämlich µC in TQFP, bei anderen funktioniert es wieder gar nicht
> (BGA, Logikgatter im SOIC, bei denen die Versorgungspins gegenüber
> liegen).
Ich kann mich offenbar beliebig oft wiederholen: das funktioniert 
tadellos bei ICs, die so designt sind, dass man an die Pins genau so 
drankommt. Also z.B. bei allen aktuellen uCs (und ich behaupte einfach 
bis zum Gegenbeweis, dass die Zielgruppe zu 70% genau solche Bauteile 
einsetzt). Es funktioniert natürlich nicht bei BGAs (leider!).
Aber ich will auch nicht "die ultimative und alleingültige Lösung" 
anpreisen, sondern den Blick einfach auf die Ursache lenken: dass ein 
jeder Strom im Kreis fließt. Und wo einer rauskommt muss irgendwo anders 
wieder einer rein. Und das hat Auswirkungen auf den Strom und die 
Umgebung. Wenn man das kapiert hat (und das scheint mir nicht allzu 
einfach), dann kann sich jeder selbst an die Optimierung seines Layouts 
machen. Und wie gesagt: ich werde diesen Thread hier verlinken, dass 
sich Andere auch einen Überblick verschaffen können.

Antimedial schrieb:
> Allein weil du etwas als ein "schlechtes" Beispiel verkaufst, das keines
> ist (wo kommen beim TL082 bitte die hochfrequenten Störungen her?).
Warum sind die Menschen nur immer so auf Bilder fokussiert? Ich werde 
dieses Bild wieder herausnehmen und andere Beispiele suchen.
Zum vorgestellten Layoutbeispiel verweise ich aber auf den 
Beitrag "Re: Abblockkondensatoren, wie routen?"

: Bearbeitet durch Moderator
von Lars R. (lrs)


Lesenswert?

Hallo Lothar,

Bzgl. Positionierung der Vias:

http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_OK1.jpg
. Für den IC hebst Du Ground-Level und senkst VCC-Level.
. Der "Stromkreis" von IOs (nicht nur kritisch bzgl. DDR, sondern SPI, 
SDRAM, Display, ...) wird deutlich länger. Der Strom fließt auf der 
Fläche im Kreis.

http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg
. Wenn Du den Weg zu den Versorgungslagen verbietest, bricht eben die 
Versorgungsspannung am Pin ein. Am Ende saugt der Kondensator im hier 
dargestellten Fall noch aus dem IC, falls der sich über andere 
Pins/Reserven schneller erholt als der abgebildete Kondensator ;)
Und deswegen machst Du es auf dem PCB doch lieber anders herum (erstes 
Bild).

http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Falsch.jpg
. Der Strom/die Wellen (I/f) sehen das Via und die 
Struktur/Anordnung/Reihenfolge der Zuleitungen nicht, weil die 
Frequenzen dafür zu klein, bzw. die Wellenlängen zu groß sind. Sie sehen 
Impendanzen.
. Statisch hat die Groundfläche näherungsweise 0 Ohm, das Via ca. 0,5 
Milliohm und Deine Zuleitungen mehrere Milliohm. Gern die 3 Werte auch 
schlimmer.
. Der Kondensator liefert aufgrund geringer Impendanz die vom IC 
geforderten I/f, auch wenn sich dazwischen Vias befinden. Falls der 
Kondensator das nicht kann, dann fließt der Strom am Kondensator vorbei 
über die Vias in die Flächen. Von dort zu den anderen Versorgern: Etwas 
C-Fläche, etwas Bulk, etwas Spannungsversorgung. Wenn sich die für das 
jeweilige I/f in Reichweite befindlichen Versorger erschöpft haben, oder 
I/f gar nicht zum Via kommen, so "bricht die Versorgungsspannung ein".
. Wenn bei einer Parallelschaltung von 2 unterschiedlichen Kondensatoren 
die Impendanzen der Zuleitungen vernachlässigbar sind, so spielt die 
Reihenfolge der Kondensatoren keine Rolle.

Warum es bei Dir gut funktioniert: Du verwendest wenig MHz-IOs und 
gegenüber Schwankungen der Versorgungsspannung sehr tolerante ICs mit 
geringen Decouple-Anforderungen. Deine Seite erweckt aber eher den 
Eindruck der Allgemeingültigkeit.

Lars

: Bearbeitet durch User
von Takao K. (takao_k) Benutzerseite


Angehängte Dateien:

Lesenswert?

Warum sowas wohl gemacht werden muss bei langen Zuleitungen 
(spannungsregler NICHT direkt neben dem IC)?

Was dann als Kondensator verwendet wird ist nahezu egal.

von Takao K. (takao_k) Benutzerseite


Lesenswert?

Franz schrieb:
> Hallo,
>
> normalerweise hat man ja auf einer Platine an der Spannungsversorgung
> mindestens einen Elko und dann z.B. direkt vor dem Mikrocontroller an
> jedem VCC-Pin einen Kerko als Abblockkondensator. Übliche Werte sind
> dort ja 100 nF und dort gehen die Bauformen ja von klein bis winzigst.
>
> Wenn ich nun von Hand löten will und ohnehin nicht allzu kleines
> Hühnerfutter verwenden möchte, bringt es mir dann Nachteile ein, wenn
> ich z.B. einen 0805 100 nF gleich durch einen 0805 1 µF ersetze? Ohne
> Änderungen der restlichen Schaltung, meine ich.
>
> Hat das also z.B. frequenztechnisch irgendwelche negativen Konsequenzen?
> Aus dem Datenblatt bin ich bisher nicht schlau geworden. Wie haltet ihr
> es damit?

Das kommt auf den Aufbau und die Schaltung an und die Frequenz:

20 Mhz oder weniger
40 MHz
Mehr als 50 MHz

Wie lang sind die Zuleitungen

Wie sauber ist das Versorgungsnetzwerk (wieviele Verbraucher wieviel 
Strom was ist die Frequenz). Wenn du Schaltregler hast und viele 
unterschiedliche Verbraucher, ist dein Netzwerk "schmutzig", schon nach 
10cm Zuleitung bekommst du Probleme.

Biz zu 50 MHz sind Elkos + kleine Tantal elkos + Keramik OK, Werte 
ziemlich beliebig, am besten immer lokal kleinere Elkos + Tantal, und 
Keramik direkt am Chip.

Hochfrequente Teile der Schaltung kannst du mit Drosseln isolieren, ggf. 
auch eigener Spannungsregler.

Nicht nur die Versorgung ist wichtig, auch die Stoerungen welches jedes 
IC, jedes Schaltungsteil produziert.

Wenn die hochfrequent sind, bzw. wenn es Oberwellen gibt, werden die von 
Leiterbahnen oder Zuleitungen abgestrahlt (wirken als Antenne), und von 
anderen empfangen, da hilft dir kein Kondensator.

Bei 20 Mhz ist es noch weitgehend egal, bei 80 MHz nicht mehr.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Lars R. schrieb:
> http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Falsch.jpg
> . Der Kondensator liefert aufgrund geringer Impendanz die vom IC
> geforderten I/f, auch wenn sich dazwischen Vias befinden.
Der Trick an dem Bild "Am Falschesten" ist der, dass hier der Bezug 
vom Kondensator zu "seinen" IC-Anschlüssen verloren geht und der 
schließlich, weil es direkt am  IC immer enger hergeht /irgendwie und 
irgendwo/ platziert wird.

> http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg
> . Wenn Du den Weg zu den Versorgungslagen verbietest, bricht eben die
> Versorgungsspannung am Pin ein.
Es ist klar, dass die Leitungen zur Versorgung ebenfalls nicht 
unendlich lang sein dürfen, weil sonst natürlich Ground-Bouncing am IC 
eintritt. Die Versorgung bricht aber nicht ein, weil in dieser Leitung 
nur statische Ström fließen. Und dabei fallen an den von dir 
angenommenen Milliohm bestenfalls ein paar Millivolt ab.

> . Statisch hat die Groundfläche näherungsweise 0 Ohm, das Via ca. 0,5
> Milliohm und Deine Zuleitungen mehrere Milliohm.
Dynamisch gesehen (und nur das ist hier interessant, weil 1. die 
statischen Ströme meist recht gering sind und 2. der 
Entkopplungkondensator nur für dynamische Ströme zuständig ist) hat 
jeder Millimeter sein Mikrohenry, egal ob auf Plane, Via oder auf der 
Leitung.
Es geht also für den Designer primär um eine "stabile" Masse, die keine 
Potentialunterschiede zu den unterschiedlichen Masseanschlüssen eines 
ICs aufweist. Das kann nicht Aufgabe des Blockkondensators sein. Dessen 
Aufgabe ist die Entkopplung der IC-internen Ströme von dieser Masse.

> Deine Seite erweckt aber eher den Eindruck der Allgemeingültigkeit.
Ich habe die Links zu den durchaus kontroversen Diskussionen eingefügt, 
ich werde auch deine Bedenken hier verlinken.
Es ist mir klar, dass ich auf einer Seite NICHT die gesamte Komplexität 
des Leiterplattenlayouts und der Entkopplung abhandeln kann, und das 
sollte auch jedem klar sein. Schon allein weil mit dem Thema andere 
Leute ihren Lebensunterhalt verdienen und/oder ganze Bücher zum Thema 
schreiben, KANN diese eine Seite nicht allgemein gelten. Keiner der dort 
stehenden Sätze ist aber FALSCH. Bestenfalls sind die Aussagen 
unvollständig.

> Und deswegen machst Du es auf dem PCB doch lieber anders herum
Ich mache das auf der Leiterplatte so, wie es der jeweilige Chip 
braucht. Wenn das ein IO-lastiger Prozessor/Speicher/FPGA im BGA Gehäuse 
ist, dann fließen die signifikanten Ströme anders und man braucht eine 
andere Layoutstrategie. Es geht immer um irgendwelche Stromkreise, 
die möglichst kompakt geschlossen werden müssen. Und letztlich ist es 
ebenfalls immer ein Kompromiss, der sich dann auf der Platine 
abbildet...

von Lars R. (lrs)


Lesenswert?

Lothar Miller schrieb:
> Lars R. schrieb:
>> http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Falsch.jpg
>> . Der Kondensator liefert aufgrund geringer Impendanz die vom IC
>> geforderten I/f, auch wenn sich dazwischen Vias befinden.
> Der Trick an dem Bild "Am Falschesten" ist der, dass hier der /Bezug/
> vom Kondensator zu "seinen" IC-Anschlüssen verloren geht und der
> schließlich, weil es direkt am  IC immer enger hergeht /irgendwie und
> irgendwo/ platziert wird.

In den Bildern "Am Falschesten" und "Falscher" ist die Distanz der Pins 
zum Kondensator gleich. Im Bild "Am Falschesten" muss VCC zwischen Pin 
und Kondensator durch ca. zweimal 0,5 Vias = 1 Via; Masse genauso. Dafür 
sind im Bild "Falscher" die Leitungen zwischen Kondensator und Pins 
dünner. Die Impendanz zwischen Pins und Kondensator ist in beiden 
Bildern etwa gleich.
Die I/f-Anteile, die im Bild "Am Falschesten" aus den Pins heraus nicht 
bis zum abgebildeten Kondensator kommen, schaffen es auch nicht woanders 
hin. Die I/f-Anteile, die nicht vom Kondensator "bedient" werden, 
"laufen" durch die Flächen. Nicht nur der Kondensator sondern auch 
weitere "Teile" des PCB werden als dem Entkopplungs-Netzwerk zugehörig 
betrachtet. Letzteres kannst Du unterbinden, womit Du dem IC 
"Versorgungsmöglichkeiten" entziehst. Die Spannung an den Pins wird 
(stärker) schwanken.
Im Bild "Am Falschesten" ist die Nähe der Vias zu den Anschlüssen und 
die Anzahl der Vias (Platz) ein fertigungstechnisches Problem, aber 
elektrisch gesehen sehr gut.

>
>> http://www.lothar-miller.de/s9y/uploads/Bilder/Entkopplung_Fakten1.jpg
>> . Wenn Du den Weg zu den Versorgungslagen verbietest, bricht eben die
>> Versorgungsspannung am Pin ein.
> Es ist klar, dass die Leitungen zur Versorgung ebenfalls nicht
> unendlich lang sein dürfen, weil sonst natürlich Ground-Bouncing am IC
> eintritt. Die Versorgung bricht aber nicht ein, weil in dieser Leitung
> nur statische Ström fließen.

Die Versorgung "bricht ein", weil in dieser Leitung nur niederfrequente 
Ströme fließen. Es sei denn, dieser eine abgebildete Kondensator liefert 
alles Höherfrequente, wonach der IC verlangt. Wenn er das aber täte, so 
flöße unabhängig von den Viapositionen und der Dimensionierung der 
Via-Zuleitungen nur niederfrequenter Strom durch die Vias. Davon 
unabhängig haben die Viapositionen und die Dimensionierung der 
Via-Leitungen aber Einfluss auf die Masse- und VCC-Potentiale sowie 
IO-bedingte Ströme.

> Und dabei fallen an den von dir
> angenommenen Milliohm bestenfalls ein paar Millivolt ab.

Zunächst statisch ja.

>
>> . Statisch hat die Groundfläche näherungsweise 0 Ohm, das Via ca. 0,5
>> Milliohm und Deine Zuleitungen mehrere Milliohm.
> Dynamisch gesehen (und nur das ist hier interessant, weil 1. die
> statischen Ströme meist recht gering sind und 2. der
> Entkopplungkondensator nur für dynamische Ströme zuständig ist) hat
> jeder Millimeter sein Mikrohenry, egal ob auf Plane, Via oder auf der
> Leitung.

Ja, aber die Unterschiede der Impendanzen sind nicht vernachlässibar. 
Die Impendanz der Fläche ist viel niedriger.

> Es geht also für den Designer primär um eine "stabile" Masse, die keine
> Potentialunterschiede zu den unterschiedlichen Masseanschlüssen eines
> ICs aufweist. Das kann nicht Aufgabe des Blockkondensators sein. Dessen
> Aufgabe ist die Entkopplung der IC-internen Ströme von dieser Masse.

Du behinderst durch lange/dünne Zuleitungen u.a. eine "stabile" Masse. 
Die Kondensatoren sollen nur deswegen nah an den Pin, weil die Impendanz 
der Fläche eben doch nicht 0 ist. (Ich schrieb, dass sie statisch im 
Vergleich zu Zuleitungen näherungsweise 0 ist). Je niedriger die 
Frequenz im I/f-Anteil, desto weiter darf der Kondensator, der sich 
darum kümmern soll, vom Pin weg.


>> Deine Seite erweckt aber eher den Eindruck der Allgemeingültigkeit.
> Ich habe die Links zu den durchaus kontroversen Diskussionen eingefügt,
> ich werde auch deine Bedenken hier verlinken.
> Es ist mir klar, dass ich auf einer Seite NICHT die gesamte Komplexität
> des Leiterplattenlayouts und der Entkopplung abhandeln kann, und das
> sollte auch jedem klar sein.
> Schon allein weil mit dem Thema andere
> Leute ihren Lebensunterhalt verdienen und/oder ganze Bücher zum Thema
> schreiben, KANN diese eine Seite nicht allgemein gelten.

Erst nach dem Lesen dieses Threads, aber noch vor dem Schreiben meines 
ersten Betrages dazu, war mir klar, dass Du für die Darstellung auf 
Deiner Seite NICHT den Anspruch "Ohne Einschränkung der Allgemeinheit 
gültig" erhebst. Vielmehr ist es "nur gültig für Steckbretter und davon 
abgeleitete PCBs". Du schreibst viel und gut über VHDL, aber Deine 
Layoutempfehlungen passen nicht zu den FPGAs; auch nicht den non-BGA.
Die Ansprüche an einen guten Erklärer sind eben auch etwas höher. Wenn 
das irgendein Herr xy auf irgendeiner Seite geschrieben hätte, gäbe es 
hier keine Diskussion über die Seite. Mein Verbesserungsvorschlag wäre 
nicht die Darstellung meiner Ansicht, sondern dass Du Deine Ansicht und 
Anwendungsfälle/Zielgruppe auf Deiner Seite noch ein klein wenig 
konkretisierst.


> Keiner der dort
> stehenden Sätze ist aber FALSCH. Bestenfalls sind die Aussagen
> unvollständig.

Die Aussage "falsch, falscher, am Falschesten" ist falsch. (Ich beziehe 
mich nicht auf die Grammatik). Sie sind aber nicht schädlich, wenn man 
den Anwendungsbereich eingrenzt.

>> Und deswegen machst Du es auf dem PCB doch lieber anders herum
> Ich mache das auf der Leiterplatte so, wie es der jeweilige Chip
> braucht. Wenn das ein IO-lastiger Prozessor/Speicher/FPGA im BGA Gehäuse
> ist, dann fließen die signifikanten Ströme anders und man braucht eine
> andere Layoutstrategie. Es geht immer um irgendwelche Stromkreise,
> die möglichst kompakt geschlossen werden müssen. Und letztlich ist es
> ebenfalls immer ein Kompromiss, der sich dann auf der Platine
> abbildet...

Auf dem PCB-Bild sind die Impendanzen von den Pins zum Kondensator groß, 
und vom Kondensator zu den Vias gering. Auf der Skizze "Richtig" wird es 
genau anders herum verlangt. In beiden Fällen sind meiner Ansicht nach 
die Vias von den Pins zu weit weg.

Edit: "Falsch" -> "Falscher" korrigiert

: Bearbeitet durch User
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Lars R. schrieb:
> Du schreibst viel und gut über VHDL
Dankesehr.
> aber Deine Layoutempfehlungen passen nicht zu den FPGAs; auch nicht den
> non-BGA.
Oh doch. Sie passen wunderbar zu Allem, wo im IC viel gemacht wird. 
Und wenig nach draussen geht. Gerade uC und FPGA sind hier betroffen. Da 
sind zigtausend Flipflops im Bauteil und nur ein paar "wenige" an den 
Pins. Klar treibt jedes dieser wenigen FFs ungleich mehr Last, aber 
deswegen habe ich die Problematik "IO-Pins und deren Ströme" wenigstens 
nochmal kurz angerissen. Man sollte beim Leiterplattenlayout 
insbesondere den Punkt "kompakte Stromkreise" IMMER im Auge behalten. 
Eine Massefläche, die von einer Leiterbahn "zerschnitten" wird, ist 
keine Fläche mehr, sondern die Grundlage für eine Leiterschleife.

> Die I/f-Anteile, die nicht vom Kondensator "bedient" werden, "laufen"
> durch die Flächen.
Und letztlich sollte auf dieser Fläche von den Strömen, die sich allein 
im IC abspielen gar nichts ankommen.

> Mein Verbesserungsvorschlag wäre nicht die Darstellung meiner Ansicht,
> sondern dass Du Deine Ansicht und Anwendungsfälle/Zielgruppe auf Deiner
> Seite noch ein klein wenig konkretisierst.
Getan. Es ist mir klar, dass man hier trefflich um Milli- und 
Mikrometer, Impedanzkurven, Ground-Bouncing und Induktivitäten 
diskutieren kann. Wenn man aber mal diesen Wissensstand erreicht hat, 
braucht man so eine kompaktierte Zusammenfassung eines UMFANGREICHEN 
Problembereichs nicht mehr.
Wobei es auch dem langjährigen Profi (der sich im Laufe der Zeit seine 
eigenen Gewohnheiten zugelegt hat) nicht schadet, mal wieder die 
Ursachen zum Thema anzusehen. Und das sind die 3 Regeln, von denen ich 
die dritte (Impedanzen von Kondensatoren) auch nur so "dahingerotzt" und 
nicht weiter aufgedröselt habe. Es wundert mich eigentlich, dass zu 
diesem Thema nicht mehr kommt...

Mit dem extrem kurzen Abriss zum Thema Blockkondensator wende ich mich 
wie richtig erkannt vorrangig an die Anfänger zum Thema, die die 
Blockkondensatoren einfach ganz weglassen oder irgendwo positionieren.

Und wie gesagt: ich möchte da keineswegs als alleingültiger Prophet 
dastehen, sondern habe z.B. auch diesen Thread hier verlinkt...

: Bearbeitet durch Moderator
von Takao K. (takao_k) Benutzerseite


Lesenswert?

Fuer langsame Kontroller in Schaltungen wo nur kleiner Strom fliesst, 
macht sich auch ein 1uF Elektrolyt Kondensator ganz gut.

Mehrfache Kondensatoren braucht es nur bei hohen Frequenzen oder wenn 
die Schaltung viele Komponenten hat.

Allzugross ist die Induktivitaet von so einem 1uF Elektrolyt Kondensator 
nicht.

von Lars R. (lrs)


Lesenswert?

Lothar Miller schrieb:
>> Mein Verbesserungsvorschlag wäre nicht die Darstellung meiner Ansicht,
>> sondern dass Du Deine Ansicht und Anwendungsfälle/Zielgruppe auf Deiner
>> Seite noch ein klein wenig konkretisierst.
> Getan. Es ist mir klar, dass man hier trefflich um Milli- und
> Mikrometer, Impedanzkurven, Ground-Bouncing und Induktivitäten
> diskutieren kann. Wenn man aber mal diesen Wissensstand erreicht hat,
> braucht man so eine kompaktierte Zusammenfassung eines UMFANGREICHEN
> Problembereichs nicht mehr.
> Wobei es auch dem langjährigen Profi (der sich im Laufe der Zeit seine
> eigenen Gewohnheiten zugelegt hat) nicht schadet, mal wieder die
> Ursachen zum Thema anzusehen. Und das sind die 3 Regeln, von denen ich
> die dritte (Impedanzen von Kondensatoren) auch nur so "dahingerotzt" und
> nicht weiter aufgedröselt habe. Es wundert mich eigentlich, dass zu
> diesem Thema nicht mehr kommt...

Mit der Reihenfolge "falsch, falscher, am falschesten" komme ich 
weiterhin nicht klar. Aber mir gefällt die Seite nun besser und gut; 
besonders der angedeutete Lösungsansatz für 2 Lagen.

Hinsichtlich Regel 3 könnte man auch veranschaulichen:
Entkopplungs-Kondensatoren schließen hohe Frequenzen aus dem VCC-Pin 
gegen Masse kurz. Die Kondensatoren besitzen jedoch einen 
frequenzabhängigen Widerstand, der einem Kurzschließen entgegen steht. 
Deshalb sollten für den kurzzuschließenden Frequenzbereich Kondensatoren 
ausgewählt werden, die in dem gewünschten Frequenzbereich einen 
niedrigen Widerstand (Impedanz) besitzen.

Das geht aber vielleicht zu weit und passt vielleicht nicht in die 
Gesamtdarstellung.

Mir hilft der Gedankenaustausch auch beim darüber nachdenken. Von einem 
bestimmten Standpunkt ausgehend ist der gesamte Sachverhalt vielleicht 
doch auf wenige Sätze vollständig zusammen fassbar. Aber wenn sich die 
Standpunkte unterscheiden, besteht/bestand Ausführungsbedarf.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Takao K. schrieb:
> Fuer langsame Kontroller in Schaltungen wo nur kleiner Strom fliesst,
> macht sich auch ein 1uF Elektrolyt Kondensator ganz gut.
Schon wieder so eine "Gewohnheit". Und 1uF sind für den hier 
angesprochenen Anwendungsfall immer zu groß.

> Fuer langsame Kontroller
Es gibt heute keine "langsamen" Controller mehr. Denn "schnell" und 
"langsam" bezieht sich ja wie mehrfach erwähnt nicht auf die 
Taktfrequenz, sondern auf die Flankenanstiegszeit des Strombedarfs. 
Und diese Zeit wird bei kleineren Strukturbreiten im IC immer 
kleiner/schneller.

von Takao K. (takao_k) Benutzerseite


Lesenswert?

Lothar Miller schrieb:
> Takao K. schrieb:
>> Fuer langsame Kontroller in Schaltungen wo nur kleiner Strom fliesst,
>> macht sich auch ein 1uF Elektrolyt Kondensator ganz gut.
> Schon wieder so eine "Gewohnheit". Und 1uF sind für den hier
> angesprochenen Anwendungsfall immer zu groß.
>

Naja entweder funktioniert die Schaltung nachher richtig oder nicht, 
oder diese spinnt gerne einmal und keiner weiss so richtig warum.

Normalerweise sollte man im Betrieb alles anfassen koennen, wenn der 
Kontroller stehenbleibt, gibt es hier ein Problem.

Bau mal bei einem PIC32 Leuchtdioden ein von 5v zu 3.3v, kannst du sehen 
wie sich die Stromaufnahme aendert.

>> Fuer langsame Kontroller
> Es gibt heute keine "langsamen" Controller mehr. Denn "schnell" und
> "langsam" bezieht sich ja wie mehrfach erwähnt nicht auf die
> Taktfrequenz, sondern auf die Flankenanstiegszeit des Strombedarfs.
> Und diese Zeit wird bei kleineren Strukturbreiten im IC immer
> kleiner/schneller.

Ja daher bewirkt ein Rechtecksignal ein schmutziges Netzwerk bei grosser 
Stromstaerke. Gibt es auch Oberwellen- ein Rechtecksignal setzt sich in 
der Theorie aus mehrfachen harmonischen Sinussignalen zusammen.

Bei einem 20 Mhz Kontroller mit nur geringem Leistungsumsatz gibt es 
meist keine Probleme mit einfach nur beliebigen Kondensatoren.

Ich quaele mich da garnicht so grossartig ab, wenn I2C nicht 
funktioniert wegen einem Schaltregler, baue ich einfach eine Drossel 
ein, und gut ist es.

Vielleicht geht es auch mit einem Kondensator Array - vergleiche 
harmonische Sinusschwingungen mit mehrfach abgestuften Kondensatoren.

Eine Drossel versucht den Stromfluss aufrechtzuerhalten. Je hoeher die 
Induktivitaet ist, desto weniger hochfrequente Signale kommen durch.

22uH bewirken oft den gewuenschten Zweck und sind auch recht 
niederohmig.

Ausserdem bekommst du mit einer Drossel auch eklige EMI Effekte weg, 
z.B. Schalten von 230v Verbrauchern.

Das ist nur meine Meinung, die fuer meine Schaltungen passt.

von nicht "Gast" (Gast)


Lesenswert?

Leg' dich nocheinmal hin, schlaf dich aus und nimm dann ein gemütliches 
Frühstück. Zum Posten ist es für dich offensichtlich noch zu früh.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Takao K. schrieb:
> Ich quaele mich da garnicht so grossartig ab, wenn I2C nicht
> funktioniert wegen einem Schaltregler, baue ich einfach eine Drossel
> ein, und gut ist es.
Mit dieser Ansicht darfst du aber nicht ins EMV Messlabor gehen wollen 
oder müssen...

von Falk B. (falk)


Lesenswert?

@ Lothar Miller (lkmiller) (Moderator) Benutzerseite

>Takao K. schrieb:
>> Ich quaele mich da garnicht so grossartig ab, wenn I2C nicht
>> funktioniert wegen einem Schaltregler, baue ich einfach eine Drossel
>> ein, und gut ist es.

>Mit dieser Ansicht darfst du aber nicht ins EMV Messlabor gehen wollen
>oder müssen...

Er geht doch sowieso nur ins psychiologische Messlabor . . .

von MaWin (Gast)


Lesenswert?

Franz schrieb:
> Wenn ich nun von Hand löten will und ohnehin nicht allzu kleines
> Hühnerfutter verwenden möchte, bringt es mir dann Nachteile ein, wenn
> ich z.B. einen 0805 100 nF gleich durch einen 0805 1 µF ersetze?

Frequenz hatten wir schon, zudem sind 1uF viel unzuverlässiger als 100nF 
weil die Isolation dünner ist.

Zudem noch
http://www.dse-faq.elektronik-kompendium.de/dse-faq.htm#F.14.1

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.