Forum: FPGA, VHDL & Co. Fehler beim Erzeugen eines Test-Bench-Files


von VHDL_ISIM_User (Gast)


Angehängte Dateien:

Lesenswert?

Hallo. Ich habe folgendes File in VHDL (ISE 14.7) angelegt (habe es zum 
Test noch nicht mit "Inhalt" versehen):

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

library work;
use work.matrix_dec.ALL;

entity Matrix is
Generic (width : integer := 3);
Port ( clk : in  STD_LOGIC;
       x1 : in  matrix_2d (0 to width-1, 0 to width-1);
       x2 : in  matrix_2d (0 to width-1, 0 to width-1);
       y  : out matrix_2d (0 to width-1,0 to width-1);
end Matrix;

architecture Behavioral of Matrix is

begin

end Behavioral;

Möchte ich ein Test-Bench-File erzeugen erscheint der im Anhang 
aufgeführte Fehler. Erzeuge ich eine Test-Bench-Datei von einem File, 
welches keinen Matrix-Datentypen enthält bekomme ich keinen Fehler. Hat 
einer eine Idee, was genau die Meldung auslöst?

von VHDL_ISIM_User (Gast)


Lesenswert?

Folgende Files habe ich verwendet. Vielleicht mag ja wer so nett sein, 
und es mal testen:

matrix_test.vhdl

library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;

library work;
use work.matrix_dec.ALL;

entity Matrix_Test is
    Port ( clk : in  STD_LOGIC;
           x : in   matrix_2d(0 to 2, 0 to 2);
           y : out  matrix_2d(0 to 2, 0 to 2));
end Matrix_Test;

architecture Behavioral of Matrix_Test is
  signal x_net :  matrix_2d(0 to 2, 0 to 2);
begin
  x_net <= x;
  y <= x_net;

end Behavioral;



---------------------------------------
matrix_dec.vhdl:

library IEEE;
use IEEE.STD_LOGIC_1164.all;

PACKAGE matrix_dec IS
  type matrix_2d is array (NATURAL RANGE <>,NATURAL RANGE <>) of 
STD_LOGIC_VECTOR (17 downto 0) ;
END matrix_dec;

package body matrix_dec is

end matrix_dec;

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

VHDL_ISIM_User schrieb:
> Möchte ich ein Test-Bench-File erzeugen erscheint der im Anhang
> aufgeführte Fehler. Erzeuge ich eine Test-Bench-Datei von einem File,
> welches keinen Matrix-Datentypen enthält bekomme ich keinen Fehler. Hat
> einer eine Idee, was genau die Meldung auslöst?
Der Script, der die Testbench erzeugt, kann nur mit "normalen" Vektoren 
und "üblichen" Datentypen umgehen. Er parst aber nicht noch irgendwelche 
Packages nach speziellen Typen. Da ist wohl "Selbermachen" angesagt. 
Oder du passt den Script einfach an. Siehe dazu die letzten Zeilen auf 
http://www.lothar-miller.de/s9y/archives/27-Xilinx-New-Source.html

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.