Forum: FPGA, VHDL & Co. verilog bufif1 in VHDL?


von Markus F. (mfro)


Lesenswert?

Hallo allerseits,

ich bin gerade bei dem Versuch, ein verilog DDR2 model für meine 
Testbench "händisch" nach VHDL zu übersetzen (weil Modelsim anscheinend 
in der Altera-Version keine mixed-language Simulation kann - grrr).

Wie auch immer, beim Umsetzen bin ich auf ein
1
bufif1
gestoßen.

Ist das tatsächlich nur ein
1
out <= in WHEN (en = '1') ELSE (OTHERS => "Z");
?

Oder steckt da mehr dahinter?

Danke schon mal!

: Bearbeitet durch User
von Lattice User (Gast)


Lesenswert?

Markus F. schrieb:
>
> Ist das tatsächlich nur ein
>
1
out <= in WHEN (en = '1') ELSE (OTHERS => "Z");
> ?

Im Prinzip ja.
Könnte sich nur für den Fall  in = 0 oder 1, und en = "U" oder "Z" 
unterscheiden. In diesem Falle wird out = in oder "Z" je nach Laune des 
Simulators.

von Markus F. (mfro)


Lesenswert?

Danke!

Scheint zu funktionieren.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.