Forum: FPGA, VHDL & Co. (?) Quartus: Pinlevel fixieren


von Günter (. (dl4mea)


Lesenswert?

Hallo,

Kann man bei Altera Quartus im Toplevel einen physikalischen Input-Pin 
statt real an den Pin anzuschließen auch ein festes Level vorgeben?
Ich habe ein Signal an einen Pin verbunden, an dem ein (interner) Pullup 
hängt, und möchte aber daß dieser Pin nach drinnen wie eine '0' wirkt. 
Interne Pulldowns kann man leider ja nicht einschalten, wie z.B. bei 
manchen uC.

An die eine Möglichkeit in der Verwendung bzw. im Entprellen ein AND '0' 
zu machen habe ich schon gedacht, aber vielleicht gehts ja schon von 
draussen ohne in den VHDL-Code einzugreifen.

Danke für eure Hilfe,

Günter (dl4mea)

von Josef G. (bome) Benutzerseite


Lesenswert?

Falls der Pin als INOUT verwendbar ist:
Als INOUT definieren und '0' ausgeben.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Günter (. schrieb:
> Kann man bei Altera Quartus im Toplevel einen physikalischen Input-Pin
> statt real an den Pin anzuschließen auch ein festes Level vorgeben?
Ich verstehe die Aufgabe nicht.

Du hast eine VHDL-Bechreibung mit einem Eingangsport. An diesen 
Eingangsport willst du aber nichts anschließen, sondern ihn "nach innen" 
mit einer statischen '0' treiben.

Dann würde ich den Pin einfach aus dem Top-Level-Port herausnehmen und 
ihm direkt eine '0' zuweisen. Dann könnte auch die Optimierung alle 
betroffnen Module wegoptimieren.
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
use IEEE.NUMERIC_STD.ALL;
4
5
entity Toplevel is
6
    Port ( clk : in  STD_LOGIC;
7
--         inp : in  STD_LOGIC; -- hier raus
8
           :
9
          );
10
end Toplevel;
11
12
architecture Behavioral of Toplevel is
13
14
signal inp : std_logic := '0'; -- und stattdessen ein statisches Signal
15
16
begin
17
   process (inp) begin
18
      if (inp='1') then -- wird durch statisches "inp" wegoptimiert
19
         :
20
      else
21
         :
22
      end if;
23
   end process;
24
end Behavioral;

von Frank (Gast)


Lesenswert?

Lothar M. schrieb:
> Günter (. schrieb:
> Kann man bei Altera Quartus im Toplevel einen physikalischen Input-Pin
> statt real an den Pin anzuschließen auch ein festes Level vorgeben?
>
> Ich verstehe die Aufgabe nicht.
>
> Du hast eine VHDL-Bechreibung mit einem Eingangsport. An diesen
> Eingangsport willst du aber nichts anschließen, sondern ihn "nach innen"
> mit einer statischen '0' treiben.
>
> Dann würde ich den Pin einfach aus dem Top-Level-Port herausnehmen und
> ihm direkt eine '0' zuweisen
> ...

So hatte ich es auch verstanden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.