Forum: FPGA, VHDL & Co. Pin Zuweisen ?


von Michael H. (h_m)


Lesenswert?

Guten Abend,


ich habe gerade angefangen mich mit Vhdl zu beschäftigen. Ich benütze 
Lattice Diamond und den FPGA LCMXO2-256HC-4SG32C .

Jetzt bin ich gerade am Üben wie man eine Entity erstellt
1
library IEEE;
2
use IEEE.STD_LOGIC_1164.ALL;
3
4
entity incremental_encoder is
5
    Port ( clk      : in std_logic;         -- Systemtakt
6
           A        : in std_logic;         -- Spur A
7
           B        : in std_logic;         -- Spur B
8
           up_down  : out std_logic;        -- Zaehlrichtung
9
           ce       : out std_logic;        -- Clock Enable
10
           error    : out std_logic);       -- illegaler Signalübergang
11
end incremental_encoder;

Also jetzt definiere ich ja hier meine EIN und Ausgänge in der Entity. 
Aber woher weiß die Entity jetzt welchen PIN ich Von meinem FPGA zb.für
 A        : in std_logic;  verwendenden will. Bzw. wo oder wie lege ich 
das Fest ?

Vielleicht könnte mir Bitte jemand weiterhelfen ?

Grüsse Huber M

von Alex (Gast)


Lesenswert?

Hi,

das wird in einem Constraint-file festgelegt ( .xdc bei xilinx vivaro)

von Michael H. (h_m)


Lesenswert?

OK, mit Vivado kenn ich das ein wenig, aber wie geht das bei lattice ?

von Alex (Gast)


Lesenswert?

Bei lattice gibt's das .lpf Constraint-file

von Michael H. (h_m)


Angehängte Dateien:

Lesenswert?

Ok Danke, und wo bekomme ich das her ?

denn, wenn ich bei Spreadsheet View --> Port Assignments nachsehe falls 
ich hier überhaupt richtig bin steht da bei mir nichts drinnen siehe 
Bild

von Mitwisser (Gast)


Lesenswert?

Michael H. schrieb:
> steht da bei mir nichts drinnen

Naja du willst ja Pins zuweisen, also musst du dort was reinschreiben.

von Michael H. (h_m)


Lesenswert?

Kann mir vielleicht jemand ein passendes Video zur pin configurierung 
zeigen. Ich Checke es nicht. Ich finde bei tube zwar sonst alles aber 
nicht wie man den ersten Schritt bewältigt

von Mitwisser (Gast)


Lesenswert?

Sind wieder alle Suchmaschinen kaputt?

Beitrag "Constraint Files in Lattice"

von Michael H. (h_m)


Lesenswert?

Genau diesen Beitrag habe ich schon gefunden und gelesen. Aber ich habe 
oder finde kein .lpf Constraint-file

von Mitwisser (Gast)


Lesenswert?

Michael H. schrieb:
> Aber ich habe oder finde kein .lpf Constraint-file

Aber du findest Hilfe zur Selbsthilfe.

Lesen musst du selber.

von user (Gast)


Lesenswert?

weil es noch keines gibt, du musst die Datei schreiben

von Michael H. (h_m)


Lesenswert?

Ok, Aber ich kann auch nicht hinschreiben denn ich kann da auch mit der 
Maus nichts auswählen im Spreadsheet view.

von Michael H. (h_m)


Lesenswert?

Jetzt glaube ich habe ich es gefunden, unter was genau kann ich denn mir 
anschauen wie man dies macht bzw. wo wird das genauer erläutert ?

BLOCK RESETPATHS ;
BLOCK ASYNCPATHS ;
LOCATE COMP "pin1" SITE "13" ;
LOCATE COMP "pin2" SITE "14" ;
LOCATE COMP "pin3_sn" SITE "16" ;
LOCATE COMP "pin4_mosi" SITE "17" ;
LOCATE COMP "pin5" SITE "20" ;
LOCATE COMP "pin6" SITE "21" ;
LOCATE COMP "pin7_done" SITE "23" ;
LOCATE COMP "pin8_pgmn" SITE "25" ;
LOCATE COMP "pin9_jtgnb" SITE "26" ;
LOCATE COMP "pin10_sda" SITE "27" ;
LOCATE COMP "pin11_scl" SITE "28" ;
LOCATE COMP "pin16" SITE "4" ;
LOCATE COMP "pin17" SITE "5" ;
LOCATE COMP "pin18_cs" SITE "8" ;
LOCATE COMP "pin19_sclk" SITE "9" ;
LOCATE COMP "pin20_miso" SITE "10" ;
LOCATE COMP "pin21" SITE "11" ;

von Mitwisser (Gast)


Angehängte Dateien:

Lesenswert?

So wie du fragst muss ich eine oder mehrere von drei Aussagen
als zutreffend annehmen:

a) du bist zu blöd zu suchen
b) du bist zu faul zum suchen
c) du verstehst kein Wort Englisch

In allen drei Fällen kann man dir nur raten dein Vorhaben
aufzugeben.

Michael H. schrieb:
> unter was genau kann ich denn mir
> anschauen wie man dies macht bzw. wo wird das genauer erläutert ?

Ist im "Lattice Diamond User Guide" beschrieben. Ich habe es dir
nochmal im Beitrag angehängt, also sozusagen mundgerecht serviert.

Bitte jetzt keine Frage wie man das Dokument anschaut.

von Michael H. (h_m)


Lesenswert?

Ok Danke,

ich bin nicht zu faul zu suchen, Aber ich habe gerade festgestellt das 
ich zu blöd bin die Pinouts im Datenblatt zu finden.

für meinen Chip LCMXO2-256HC-4SG32C

ich habe jetzt zwei Möglichkeiten probiert

1. ich ging auf die Lattice Seite unter Produkts>FPGA&CPLD>MachXO2 und 
unten bei Quick reference auf Datasheet.


2. in Lattice Diamond unter Project-->Device und habe im Deviceselektor 
auf den Link " online Datasheet for Device " gedrückt. und beidemale 
bekomme ich das MachO2 Family Datasheet gibt es da vielleicht noch ein 
anderes das ich nicht finde ?

von Christoph Z. (christophz)


Lesenswert?

Michael H. schrieb:
> denn, wenn ich bei Spreadsheet View --> Port Assignments nachsehe falls
> ich hier überhaupt richtig bin steht da bei mir nichts drinnen siehe
> Bild

Ja, du bist am richtigen Ort. Die Spreadsheet View ist ein GUI Tool um 
Einträge im constraint file anzusehen bzw. zu editieren.

Da du (vermutlich) im Moment nur eine Entity hast und noch keine (oder 
eine leere) Architektur dazu, gibt es keine Pins zu zu weisen. (Die Pins 
wurden wegoptimiert, da die Eingangssignale nicht verwendet werden und 
die Ausgangssignale nicht getrieben werden).

Michael H. schrieb:
> Aber ich habe gerade festgestellt das
> ich zu blöd bin die Pinouts im Datenblatt zu finden.

Michael H. schrieb:
> und beidemale bekomme ich das MachO2 Family Datasheet

Ja, für die Pinzuweisung ist das das falsche Datenblatt. Behalts aber 
mal, weil da steht alles drin, wie die FPGA Struktur intern aufgebaut 
ist, was die I/O Pins so alles können etc.

Versuchs mal mit Quick Reference -> Pin & Package

Da gibts CVS Dateien, da steht alles drin was du brauchst und kannst sie 
gleich nutzen um deine Pinzuweisung zu dokumentieren. Vielleicht 
verwirrend wenn man eigentlich ein PDF erwartet.

von Michael H. (h_m)


Angehängte Dateien:

Lesenswert?

Vielen Dank !

jetz habe ich dazu noch eine Frage wie sieht denn die Tabelle bei euch 
aus bzw. mit welchen Programm öffnet ihr diese ?

bei mir in Exel sieht das ziemlich unübersichtlich aus (Bild) oder ist 
das so korrekt. Ich weiss es nervt aber wenn man Anfänger ist ist das 
alles für einen nicht so einfach.

von Theor (Gast)


Lesenswert?

@ Michael

CSV-Dateien gibt es in mehreren Formaten.

Ein Merkmal ist das Zeichen, das zur Trennung von Feldinhalten verwendet 
wird. Bei Dir ist es offensichtlich ein Komma. ','.

Wenn man so eine Datei in MS-Excel öffnen will gibt es entweder die 
Möglichkeit, dass am Anfang ein Dialog erscheint, in dem man das 
einstellen kann oder einen Menupunkt "Import". In dem kann man dann 
verschiedene Eigenschaften der CSV-Datei beim Einlesen bestimmen.
Näheres dazu sagt die Hilfe. Ich kann da nur grob was zu sagen, weil ich 
andere Spreadsheet-Software verwende.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.