Forum: FPGA, VHDL & Co. VHDL-Dokumentations- und Programmierhilfen


von Idee (Gast)


Lesenswert?

Kennt jemand einen Editor und/oder ein Dokumentationssystem, welches es 
erlaubt, beim Entwickeln mit VHDL an irgendeiner Stelle im Code - z.B. 
bei der Signaldeklaration - einen Erklärtext zu hinterlegen, der dann 
bei Bedarf eingeblendet wird, wenn man an anderer Stelle im Code auf das 
Signal klickt?

Die Idee kam mir, als ich den pop-up - Erklärtext vom Xilinx Editor sah: 
Geht man mit der Maus über ein Signal, dann blendet der Editor schon mal 
das "downto" ein. Es wäre gut, wenn man noch einen Erklärtext hätte, die 
mit eingeblendet würde.

Gibt es so einen Editor irgendwo, der das kann? Gfs für C++, den man 
umschreiben oder erweitern könnte? Ließe sich das mit Notepad++ 
irgendwie managen?

-----------------------------------------------------------------------

Als zweite Frage wäre erörtern, wie man so einen Text mit in die 
Qualitäts-Doku bekommt, z.B. mit Doxygen oder einer anderen SW. Mit Doxy 
kann man zumindest bei C++ Textbausteine einbinden, die dann in der Doku 
erscheinen. Es wäre gut, das auch bei VHDL zu haben. Momentan 
unterstützt Dody das VHDL leider nicht ausreichend.

Falls es das nicht gibt:

Wer kennt sich mit der plugin-Entwicklung von Notepad++ aus?  Es gibt 
dort einige Code-Analysetools, die Text-Funktionen haben, also sollte 
sich das im Prinzip machen lassen.  Ich stelle mir so etwas vor: ("&$&" 
signalisiert dem Editor einen folgenden Erklärtext)
1
signal holli_polli_5_ena : std_logic_vector(15 downto 0) := (others => '0');     -- &$& "Enable für den 5. Polli-Eingang im Modul holli, momentan oberste 4 Bits Null"
2
signal schnulli_back_clk : std_logic_vector( 3 downto 0) := (others => '0');     -- &$& "4 Eingangstakte für die Schnullifunktion, mit Clock-Mux ausgewählt"
3
signal schnuili_back_out : std_logic;                                            -- &$& "der passend zur selektierten Hardware ausgewählte Schnulli-Takt"


Ein Klick auf eine Funktionstaste oder Verweilen auf dem Signal blendet 
das dann ein. Wenn man dann mal Tausende Signale hat und im alten Code 
sucht, hat man sofort alle Infos, wie es gedacht war, was es macht und 
wie man weiter machen muss.

Später genügt ein Export und man bekommt eine Liste der Signale oder 
eine VHDL-Code-Version, die es in ein DOXY-Format übersetzt.

von lexi (Gast)


Lesenswert?

Ist jetzt nicht ganz genau das, was du suchst, aber es geht in die 
Richtung und ich finde es schon ziemlich praktisch:

Teros HDL: https://github.com/TerosTechnology/terosHDL

bzw. der Documenter: 
https://github.com/TerosTechnology/teroshdl-documenter-demo

von Idee (Gast)


Lesenswert?

Schaue ich mir an. Das mit der FSM-view-Funktion ist schon mal nicht 
übel.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Idee schrieb:
> Kennt jemand einen Editor und/oder ein Dokumentationssystem, welches es
> erlaubt, beim Entwickeln mit VHDL an irgendeiner Stelle im Code - z.B.
> bei der Signaldeklaration - einen Erklärtext zu hinterlegen, der dann
> bei Bedarf eingeblendet wird, wenn man an anderer Stelle im Code auf das
> Signal klickt?

Sigasi muesste das koennen, weiss ich jetzt allerdings nicht auswendig.

Idee schrieb:
> Als zweite Frage wäre erörtern, wie man so einen Text mit in die
> Qualitäts-Doku bekommt, z.B. mit Doxygen oder einer anderen SW. Mit Doxy
> kann man zumindest bei C++ Textbausteine einbinden, die dann in der Doku
> erscheinen. Es wäre gut, das auch bei VHDL zu haben. Momentan
> unterstützt Dody das VHDL leider nicht ausreichend.

Auch da bietet Sigasi einiges an, wobei ich mit Doxygen egtl. ganz 
zufrieden bin (aber ja, hat auch hier einige Schwaechen und man muss 
Abstriche machen). Zum Grafiken generieren, z.B. FSM Diagramme finde ich 
Sigasi allerdings echt klasse. :-)

von Christoph Z. (christophz)


Lesenswert?

Idee schrieb:
> Als zweite Frage wäre erörtern, wie man so einen Text mit in die
> Qualitäts-Doku bekommt, z.B. mit Doxygen oder einer anderen SW.

Als alternative zu Doxygen hatte ich mal VHDocL ausprobiert:
https://www.volkerschatz.com/hardware/vhdocl.html

Der Sourcecode kann so dokumentiert werden wie für Doxygen und man kann 
ihn dann durch beide Tools jagen und vergleichen. VHDocL kann ein paar 
Dinge besser, weil es halt für HDL gebaut wurde. Ich finde Doxygen Dokus 
hübscher aber der Inhalt sollte ja priorität haben :-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.