Forum: FPGA, VHDL & Co. Xilinx Flash programmieren


von Mysteria S. (mysteria)


Lesenswert?

Hi!

ich hab hier nen spartan II mit passendem flash; der FPGA lässt sich 
auch ohne probleme programmieren, das flash leider net;

es werden sowohl das flash als auch der fpga gefunden; aber ich habe 
offensichtlich kein file, dass ich in das flash laden kann;

wer kann mir hier kurz helfen`?

danke,

von Axel (Gast)


Lesenswert?

Du arbeitest mit dem Webpack und erzeugst deine Files mit dem Impact?
Oder welche Software nutzt du?

Axel

von Mysteria S. (mysteria)


Lesenswert?

ja, webpack, zum download verwende ich impact

von Axel (Gast)


Lesenswert?

Ich habe leider seit 1 jahr nichts mehr mit VHDL zu tun, da ich nun in 
einem anderen Bereich tätig bin, aber ich versuche mal aus der 
Erinnerung heraus dir zu helfen.
Du musst ein .bit und ein .mcs-File erstellen. Das Bitfile war glaube 
ich für das FPGA, das mcs File für das Flash. Hast du das mcs File 
erstellt? Wenn nein, musst du bei dem Standartdialog im Impact einfach 
dein Flashtyp auswählen und dann sagen, das du ein mcs-File haben 
möchtest.
Am ende wählst du das Flash aus (es wird ja erkannt) und weist ihm dein 
mcs File zu.

Hilft dir das weiter?

Aber ich muss auch sagen, das ich zu beginn immer viele Probleme mit 
Impact hatte. Es ist zu beginn etwas schwer zu durchschauen.

Axel

von Mysteria S. (mysteria)


Lesenswert?

Hi!

das .bit hab ich, wurde automatisch generiert; das .mcs fehlt, ich kann 
es auch nicht wirklich generieren, egal was ich mache; das flash ist 
präsent und auch ansprechbar (device-id ablesbar, löschbar..); der typ 
stimmt auch, ist echt zum verückt werden!

von TheMason (Gast)


Lesenswert?

@mysteria :

also ich habe bis jetzt nur mit dem webpack 6.1 und dem spartan3 
gearbeitet.
das impact tool ist zum programmieren der fpgas (jtag-download) und der 
platform-flashs da UND zum erstellen der mcs-datei.
also bei mir geht das einwandfrei. aber ich weiß nicht ob das beim 
spartan 2   anders läuft, kanns mir aber nicht wirklich vorstellen.
welche version des webpacks hast du denn ?

gruß
rene

von Mysteria S. (mysteria)


Lesenswert?

ist die version 8.2

kannst du kurz beschreiben, wie ich das mcs-file erstellen kann?
danke!

von TheMason (Gast)


Lesenswert?

ich hab wie gesagt nur das 6.1'er installiert, aber es müsste ähnlich 
laufen.
du mußt unter "generate programming file" -> "generate prom,ace or jtag 
file"  aufrufen. dieser punkt erstellt dir aus deinem bit file ein mcs 
file.
am besten gibst du (beim erstellen des mcs-file) auto-detect an, dann 
brauchst du nicht dein platform-flash-typ herausfischen.
dann mit "configure device" das mcs-file in dein platform-flash braten 
und fertig.

von Mysteria S. (mysteria)


Lesenswert?

danke! heute ist schon zu spät, aber gleich morgen früh werd ichs 
versuchen!

von Mysteria S. (mysteria)


Lesenswert?

Hi!

okay, das ganze liefert folgende Fehler:

ERROR:iMPACT:2667 - base class function generate() is called.
 Count ReleaseSemaphore rc = 298.
----------------------------------------------------------------------
----------------------------------------------------------------------
ERROR: Generate PROM, ACE, or JTAG File failed

Process "Generate PROM, ACE, or JTAG File" failed


ich hab unter properties das project-file in Impact eingebunden und dann 
compiliert!

HILFE!

von TheMason (Gast)


Lesenswert?

hört sich nach nem sw bug des impact an ...
vielleicht mal ein sw-update probieren.
bei mir klappts eigentlich immer mit dem mcs-file erstellen.
merkwürdig ....

von Christoph Kessler (db1uq) (Gast)


Lesenswert?

Bei mir hat es auch eine Weile gebraucht, bis mein SpartanII -Board von 
Trenz unter Webpack8.1 richtig funktionierte. Das ungeschickte bei der 
Sache ist, dass Impact jede unsinnige Konfiguration vollautomatisch 
abspeichert, und beim nächsten Mal nicht mehr nachfragt, sondern mit dem 
Unsinn sofort Fehler meldet. Man darf den vorgegebenen Pfad der 
Entwicklungsschritte nie verlassen. Bei mir hat es immer wieder mal das 
Programmierkabel vergessen.
Nur durch Löschen des Konfigurationsfiles habe ich das wieder 
korrigieren können.
Auf dem Board muß ein Jumper so gesetzt sein, dass das 
Konfigurations-Flash in der DaisyChain zum FPGA enthalten ist. Außerdem 
gabs noch einen Jumper, der das Booten des FPGA aus dem Flash oder vom 
PC her umschaltet, ich meine der muß auch stimmen.
Irgendwann hat dann mal alles hingehauen, aber frag nicht wie und warum.

von Mysteria S. (mysteria)


Lesenswert?

problem ist wohl tatsächlich an der SW; hab die einstellungen mal alle 
zurück gesetzt und neu gemacht (neues projekt, neues file...), dann noch 
etwas spielen und es geht; aber ne "musterlösung" hab ich leider immer 
noch net!

trotzdem danke!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.