Forum: FPGA, VHDL & Co. Einstieg in die FPGAs


von Florian S. (buddl)


Lesenswert?

Nabend Leute


Ich hätte da mal ein Problem...
Ich bin seit etwa einer Woche dabei mich mit FPGAs zu beschäftigen.
Ich habe mir das Xilinx Spartan 3E Starter Kit von Digilent gekauft, das 
EDK und die ISE installiert und ein Buch zu VHDL besorgt.
Mitgeliefert wurde ja auch eine Recource-CD und 2 kleine 
Instruction-Bücher.

Nun weis ich aber überhaupt nicht wo ich überhaupts anfangen soll...

Aber wie meint ihr sollte man sich am besten einarbeiten. Ich bin von 
der Fülle der Informationen einfach total überschlagen. Das eine Buch 
steigt mit dem EDK ein, das andere legt gleich mit iMPACT los. Das 
andere redet von VHDL wobei ich noch nichtmal weis wo ich VHDL Code 
eingeben kann, ISE, XMP, BMB, ole ole...

Wie habt ihr das gemacht? Wo ist ein Einstiegspunkt? Gibt es 
empfehlenswerte Tutorials?

von Mike (Gast)


Lesenswert?

Zuerst solltest du mal das "Quick Start Tutorial" von Xilinx 
durcharbeiten. Das wird normalerweise zusammen mit der ISE installiert. 
Dort werden alle wesentlichen Schritte erläutert. Es ist unter:

doc\usenglish\books\docs\qst\qst.pdf

zu finden.

Dann solltest du dich mal mit dem VHDL-Buch beschäften und einfache 
eigene  Designs entwickeln (blinkende LEDs usw.) um dir die Grundlagen 
anzueignen.

Aber eigentlich hast du in der falschen Reihenfolgen angefangen (so wie 
ich auch ;). Ich würde jetzt folgende Reihenfolge vorschlagen:

- VHDL-Buch lesen
- eigene Designs entwickeln und erstmal nur simulieren
- je nach Interesse passendes Board aussuchen und kaufen
- Designs auf dem Board testen

von Florian S. (buddl)


Lesenswert?

Danke für die Hilfe!

Mein grundlegendes Problem ist, dass ich mit der Entwicklungsumgebung 
von ISE und EDK nicht klar komme und nicht weis wie das alles 
miteinander interagiert.

Das grundlegende Verständnis habe ich. Aber die einzelnen Teile kann ich 
noch nicht zuordnen. Genausowenig wo ich in der EDK den VHDL Code 
reintippseln kann. Mein VHDL Buch ist Platformunabhängig geschrieben, 
also reiner Code, ohne Bezug zur EDK oder anderen 
Entwicklungsumgebungen.

Ich würde nur zu gern mal kleine Brötchen backen und mit VHDL kleine 
Schaltungen programmieren und simulieren. Nur habe ich eben keine Ahnung 
wo ich das in der EDK machen kann.

Dort finde ich wieder wenn ich auf "Software -> Launch Platform Studio 
SDK" klicke einen C/C++ Editor mit dem ich nichts anfangen kann etc...

Den Quickstart Guide bin ich zur Hälfte durch, aber so richtig helfen 
konnte der mir mit meinem VHDL Problemchen auch noch nicht...

von Florian S. (buddl)


Lesenswert?

Oh!

Ich habe mal das Quickstart Tutorial, das du vorgeschlagen hast 
geöffnet. Das ist doch ein anderes als ich meinte. Das sieht gut aus, ja 
da wird auch auf HDL bezug genommen. Na dann mal schmöckern ;)

von Daniel R. (daniel_r)


Lesenswert?

Ging mir auch so. Hab ganz alleine damit angefangen, ohne fremde Hilfe. 
Ich wusste ebenfalls nicht, wo was ist usw. Die ISE ist so ein riesiges 
Tool, die erschlägt einen erst mal. Hinzu kommt, dass VHDL am Anfang 
sehr komisch zu verstehen ist mit parallelen Prozessen usw.

Ich glaub, es gibt im Netz auch ein Video, wo gezeigt wird, wie man eine 
LED zum Leuchten/blinken bringt. Das hört sich für einen Außenstehenden 
ja trivial an...Aber ich war gottfroh, als meine erste LED geblinkt hat. 
Ich musste übrigends auch auf das Video verzichten, da ich ein 
"wahnsinnig schnelles" 56K Modem habe :(

Ich weiß gar nicht mehr, wie ichs letztendlich gepackt habe. Das dauert 
einfach seine Zeit. Schau mal, ob Du jemanden fragen kannst, der Dir das 
mal zeigen kann. Diese Möglichkeit hatte ich als Elftklässler vor 2 
Jahren leider auch nicht, denn in meiner Umgebung hat kein Mensch was 
mit FPGAs und VHDL zu tun.

Daniel

von Daniel W. (Gast)


Lesenswert?

Moin.

Schau dir mal folgendes an:

http://inf.ntb.ch/infoportal/help/topic/ch.ntb.infoportal/resources/embeddedSystems/FPGA/pdfs/WebPackISE_Tutorial.pdf

Dort ist der Einstieg inkl. Simulator (ModelSim) ganz gut beschrieben. 
Wenn du dann noch parallel die Anleitung des Starter-Kits sowie am 
besten ein Beispielprojekt dazu nimmst, müsste der Einstieg gut 
gelingen.

D.W.

von Stefan Salewski (Gast)


Lesenswert?

Autor:  Consulting (Gast) schrieb

Unfug!

Der Einstieg in VHDL/FPGA ist nicht einfach, und es gibt hier 
haufenweise dümmere Fragen als die des Thread-Starters.

Ich hatte damals das Einsteiger-Tutorial (PDF) auch nicht sofort 
gefunden, und das dem Starkerkit-3E beiliegende gedruckte Büchlein ist 
so gut wie unbrauchbar.

Man muss sich eben durchkämpfen.

von Florian S. (buddl)


Lesenswert?

Consulting wrote:
> Ich fasse mal zusammen:
> 1.) Du hast kein Ziel, das du durch den Kauf des Starterkits zu
> erreichen suchtest.
> 2.) Du hattest vor dem Kauf keine Ahnung, ob das Starterkit deine
> Anforderungen, derer du dir in keinster Weise bewußt bist, erfüllt.
> 3.) Du bist dir zu schade, die dem Starterkit beiliegende Dokumentation
> zu lesen.
>
> Meine Analyse ergibt, daß sich dir zwei alternative Vorgehensweisen
> bieten, die deine weiteren Erfahrungen mit FPGAs beeinflussen werden:
> A) Du siehst ein, daß Lego doch ein angemesseneres Spielzeug ist,
> vertickst das Starterkit mit 10% Verlust bei ebay und freust dich, daß
> du mit so wenig Lehrgeld davongekommen bist.
> B) Da du problemlos 100 Euro aus dem Fenster schmeißen kannst, schmeißt
> du nochmal 500,- hinterher und läßt dir von einem E-Technik-Studenten an
> zwei Wochenenden die Grundlagen beipulen. Später versuchst du es selbst
> noch einmal, bist aber nicht in der Lage, die Pinzuordnungen im Menü
> wiederzufinden und entscheidest dich erneut für A) oder B).


Vielen Dank für diesen sehr aufschlussreichen Rat - Und danke, ich bin 
E-Technik-Student!

Allerdings erst im 3. Semester und VHDL kommt erst noch, fing das ganze 
Hobbymäßig an, meine Kommilitonen können mir da nicht helfen.

Ich habe dieses Starter-Kit sehr bewusst ausgewählt und auch recht lange 
recherchiert.

Naja um nicht auszuschweifen halte ich es kurz: Ich weis worauf ich mich 
hier einlasse nur fängt jeder mal klein an - Und der Einstieg ist oft 
maßgeblich dafür wie motiviert man weiterarbeitet. Bevor ich mich also 2 
Wochen "durchprügle" frage ich lieber kurz mal nach. Und allein das PDF 
worauf mich Mike aufmerksam gemacht hat ist gold Wert, ich denke morgen 
dürfte die erste LED blinken...leider mosert iMPACT noch an der 
Datenverbindung rum.

"Cable detection failed". Woran könnte das liegen? Board hat Saft, USB 
JTAG Kabel verbunden und Windows erkennt das Board auch. Jumper stimmen 
auch. ??

Naja, ich gehs morgen mal weiter an und schau mir die anderen 
Hilfestellungen dann auch an. Schonmal danke soweit! :)

Gute Nacht

von Florian S. (buddl)


Lesenswert?

Nachtrag: Okay hab den Fehler gefunden. Lag offensichtlich an einem USB 
Hub. Nun funktionierts :)

Jetzt aber gute Nacht ;)

von Maik H. (maikh)


Lesenswert?

Morgen,
die Videos gibt es auf der Digilent Seite -> Education -> Tutorials. Ich 
fand die schon sehr hilfreich, da ich auch wie du am Anfang vom ISE 
total erschlagen wurde. Des weiteren kann ich dir noch 2 Bücher 
empfehlen, die sind auch recht häufig in den Bibs zu finden. Zum Ersten 
wäre da "VHDL Synthese", das hat mir den einstieg sehr erleichtert und 
zum Zweiten "Digital Signal Processing with Field Programmable Gate 
Arrays", aber das ist schon sehr speziell, wie der Titel auch vermuten 
lässt :)


Gruß Maik

von Florian S. (buddl)


Lesenswert?

Hallo nochmals

Habe mir die Videos mal angeschaut und sie helfen wirklich ja.
Mittlerweile habe ich den ersten Schock überwunden und nun läufts super 
:)

Das Buch VHDL Synthese habe ich auch. Ist wirklich gut gemacht und hat 
vor allem auch sehr viele praxisnahe und weiterverwertbare Beispiele.


Eine Frage hätte ich noch bezüglich der ISE.
Die WebPACK Edition ist ja gegenüber der Foundation-Version nur 
hinsichtlich der unterstützten FPGAs eingeschränkt. Aber wie sieht das 
beim ISE Simulator aus? In welcher Form ist der eingeschränkt?

von Falk B. (falk)


Lesenswert?

@ Florian Scherb (buddl)

>hinsichtlich der unterstützten FPGAs eingeschränkt. Aber wie sieht das
>beim ISE Simulator aus? In welcher Form ist der eingeschränkt?

Der läuft langsamer. Ab 10.000 Zeilen VHDL SEHR langsam. Steht irgendwo 
auf der Xilinx-Homepage.

MFG
Falk

von weltbester FPGA-Pongo (Gast)


Lesenswert?

Ja, steht auch in der Beschreibung, die Mentor heraus gibt.

Ich frage mich aber eines: Wie kann man so planlos vorgehen, um sich wo 
einzuarbeiten? Du hast doch ERST ein Ziel und DANN eine Vorstellung, wie 
Du es machen willstm und legst dir DANN ein System zu, oder?

Zudem bin ich auch der Ansicht, müsste sich das einem auch irgendwie 
erschließen, wenn man da loslegt (?)

Wie will man mit der komplizierten Welt der Digitaltechnik klarkommen, 
wenn man nicht mal die Tools versteht ?

Also bei mir ist das so: Ich arbeite 3 Wochen mit einem Toll und danach 
verstehe ich das Tutorial! :-D

von Noch ein Dummschwätzer (Gast)


Lesenswert?

>Also bei mir ist das so: Ich arbeite 3 Wochen mit einem Toll und danach
>verstehe ich das Tutorial! :-D

Und Du schreibst einige Jahrzehnte sinnloses Zeugs in Foren und 
verstehst dann vielleicht auch die deutsche Grammatik und 
Rechtschreibung.

von Daniel R. (daniel_r)


Lesenswert?

@weltbester FPGA-Pongo

Was ist falsch daran, sich ein Board zu kaufen und dann damit anzufangen 
alles zu lernen? Ich habs genauso gemacht. Von FPGAs gehört, ein wenig 
herumgelesen...es hat mich interessiert und fasziniert. Also hab ich mir 
das Spartan3 Starter Kit gekauft und mich in die Materie eingearbeitet. 
Ich hatte ja auch kein festes Ziel oder eine spezielle Anwendung, für 
die ich einen FPGA gebraucht hätte. Wenn ich darauf warten würde, hätte 
ich in 10 Jahren noch keinen FPGA daheim.

Ich finde Deine Methode eher etwas seltsam. Erst 4 Wochen lang ins Tool 
einarbeiten und man hat immer noch nichts erreicht. Da vergeht einem ja 
die Lust, wenn man nur alles simulieren kann und nichts sieht. Am Anfang 
will man seine Ergebnisse sehen. Das gibt Rückenwind, weiterzumachen. 
Ödes herumdrücken auf virtuellen Knöpfen am PC, um zu simulieren, 
verderben den Spaß an der Sache.

Naja, jedem das Seine. Ich denke, der Threadsteller geht den richtigen 
Weg und wird auch zum Erfolg kommen.

Daniel

von Florian S. (buddl)


Lesenswert?

@ weltbester FPGA-Pongo

Naja ich gehe das wie Daniel R. schon sagt auch etwas anders an  ;)
Ich habe kein bestimmtes Ziel, also eine gewisse Anwendung oder 
Schaltung die ich entwickeln will. Ich fand FPGAs sehr interessant und 
will sie näher kennen lernen. Sagen wir mal mit dem Ziel FPGAs zu 
verstehen und mit ihnen arbeiten zu können. Nur aus diesem Grund habe 
ich mir das ganze Drumherum hier zugelegt.

Ich sehe das auch eher anders rum. Man muss nicht die Tools verstehen um 
die komplizierte Welt der Digitaltechnik begreifen zu können. Man muss 
die Grundlagen der Digitaltechnik können. Das ganze Drumherum mit der 
Entwicklungsplattform ist dann selbsterklärend, sobald man mal irgendwo 
einen Einstiegspunkt gefunden hat von dem aus man vernünftig arbeiten 
kann. Den hab ich dank eurer Hilfe nun ;)

von Gast (Gast)


Lesenswert?

Jeder kann anfangen, wie er will. Nur sollte man erst etwas von 
Digitaltechnik verstehen und dann welche zu programmieren probieren. 
Nicht umsonst wird das an Hochchulen gelehrt.

von shazbot (Gast)


Lesenswert?

Wenn du lust hast koennen wir ja mal bischen im icq oder so quatschen, 
versuche auch gerade einen einstieg in dieses themengebiet zu bekommen.

Koennen uns ja mal ein kleines projekt einfallen lassen. Besitze auch 
das spartan 3E starter kit und zuzweit lernts sich sowie immer einfacher 
als alleine :)

von Florian S. (buddl)


Lesenswert?

Hey

Können wir gerne mal machen. Meine Nummer ist die 166-223-786.
Ich bin aber die nächsten Tage nicht alzu oft online, hab ein Seminar. 
Also nicht wundern ;)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.