Forum: FPGA, VHDL & Co. vhdl synthese error Signal <x> of type real is not supported.


von Youssef .. (Firma: privat) (youssef)


Lesenswert?

hallo

ich muss ein vhdl program schreiben . das program muss einen Rausch 
generieren  in dem man verschiedene parametern von type Integer und von 
type Real eingibt
das Rauschgenerator  ist nicht weiter als ein zufallszahl generator 
mittels uniformverteilung ,das generierte zahl  wird weiter an eine 
Poissonverteilung weiter gegeben und am ende bekommt man eine verteilung

ich wollte zu erst ein testprogram schreiben damit ich xilinx-ise 
erstmal kennenlernen .ich hab folgendes geschrieben :power funktion **

********
library ieee;
use ieee.Math_real.all;
entity pow is port(
  x:in real;
  y:in real;
  z:out real
  );
end pow;

architecture verhalten of pow is
signal a:real;
signal b:real;
begin
a<=x;
b<=y;
Z<=x**y;
end verhalten
********
die simulation ist einwandfrei

bei der Synthese bekomme ich folgendes :

--ERROR:Xst:1547 - "C:/Xilinx92i/bin/nt/Arithmitik/pow.vhd" line 4: 
Signal <x> of type real is not supported.

wie kann ich mit dem datentype Real als eingabesignal arbeiten.
oder gibt es andere synthesis tool die das unterstützen ?

von Mathi (Gast)


Lesenswert?

Real gehört nicht zu den synthesefähigen Datentypen. Du musst Dir was 
anderes überlegen. Im VHDL-2006 sind packages für Floating- und 
fixed-point Formate enthalten.

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?


von Youssef .. (Firma: privat) (youssef)


Lesenswert?

hallo

ich danke euch für die Antwort . ich hab da ein Problem mit die 
compilation von fixed_generic_pkg ich bekomme ein Fehler an der stelle 
generic
ohne diese packet kann ich den flot packet nicht nutzen !!!

hier nochmal die Fehler Meldungen die ich bekommen habe bei jede versuch 
was anderes zu probieren

# -- Compiling package fixed_generic_pkg
# ** Error: c:/My-Pakg/fixed_generic_pkg.vhdl(48): near "generic": 
syntax error

von Mathi (Gast)


Lesenswert?

Du benutzt auch das falsche Package. Auf der IEEE-Seite steht das das 
generic NICHT für die Synthese geeignet ist. Schau Dir die Seite nochmal 
an.

von Youssef .. (Firma: privat) (youssef)


Lesenswert?

ich komme irgendwie nicht klar damit kannst du mir den Link posten ?

mein englisch ist nicht so gut :-/

von Mathi (Gast)


Lesenswert?

Es gibt für verschiedene Synthese-Tools angepasste pakete. Du benutzt 
Xilinx xst?!
Schau mal hier: http://www.eda.org/fphdl/vhdl.html

Lade das Paket für Xilinx. Im besten Fall hast Du dann alles was Du 
brauchst. Aber versucht habe ich es auch noch nicht.

von Youssef .. (Firma: privat) (youssef)


Lesenswert?

hi Danke Mathi
ja ich benutze Xilinx xst

ich werde es versuchen . ich habe mir eigentlich das selbe überlegt: den 
generic wegmachen und die parameter als constanten deklarieren. da 
kammen ein paar fehler die mit ein fehlenden packet; vermute ich ;was zu 
tun haben sollten . ich werde es nochmal probieren und werde das 
Ergebniss hier posten ;-)

viellen Dank nochmal

von Youssef .. (Firma: privat) (youssef)


Lesenswert?

also
die Compilation in Modelsim hat geklapt. in xillinx ise 9.2  mit dem xst 
Compiler bei die Synthetisierung kommt ein fehler meldung : herarchi 
kann nicht aufgebaut oder nicht gefunden (bin nicht sicher). ich habe 
auch gelesen dass xst kein alias und generics mag .also muss immer noch 
was ändern . ich bin noch dabei.
frage: hat synopsys keinen freien tool ? zum entwurf, design und 
synthese?

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?


von Mathi (Gast)


Lesenswert?

Synopsis und die anderen EDA-Hersteller haben kein Interesse daran 
kostenlose Tools herauszugeben. Da wirst Du nichts finden.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.