News FPGA IoT Maker Board


von Marco G. (kaffeedoktor)


Angehängte Dateien:

Lesenswert?

Arrow Electronics hat mit dem Arrow MAX1000 ein Maker-freundliches FPGA Board auf Basis des Intel MAX10 FPGA vorgestellt, das ohne weitere Hardware direkt vom PC über eine USB-Schnittstelle programmiert und debugged werden kann.

Herzstück des Boards ist ein Intel MAX10 FPGA mit 8000 Logikelementen. Das FPGA verfügt über eingebettetes SRAM und DSP-Blöcke und integrierten Flashspeicher. Weiterhin bietet es einen 1 Msps 12bit A/D-Wandler. Mittels Intels NIOS II-Softcore kann es so auch typische Mikrocontroller-Aufgaben realisieren. Ein externes SDRAM steht als allgemeiner Datenspeicher oder Arbeitsspeicher für den NIOS II-Softcore zur Verfügung.

Das Board ist mit einem Arrow USB-Blaster ausgestattet und kann so ohne weitere Hardware direkt vom PC programmiert und debugged werden. Die hierzu notwendige Software Intel Quartus Prime Lite ist kostenfrei verfügbar.

Versorgt wird das Board über die 5V des USB-Ports oder einen separaten Pin. Ein Intel Enpirion DC/DC-Wandler mit integrierter Spule erzeugt hierbei die notwendigen 3,3V für die Komponenten des Boards. Der Takt für FPGA und USB-Bridge wird von einem MEMS-Oszillator erzeugt.

Die weitere Ausstattung des Boards umfasst einen 3-Achsen-MEMS-Beschleunigungssensor, 8 LEDs und zwei Taster. Weiter stehen ein zweireihiger Steckverbinder, basierend auf dem Arduino MKR-Standard, und ein PMOD-Interface zur Verfügung.


: Verschoben durch Admin
von Peter (Gast)


Lesenswert?

Sponsored by Intel?!

von Gustl B. (-gb-)


Lesenswert?

Wohhooo!!! Sieht sehr gut aus wie schon die Artix Platinchen von Trenz, 
sehr bastelfreundlich und fairer Preis. Wie ist denn der FT2232H 
angebunden? UART oder auch paralleles FIFO Interface für schnelles USB?

Und hier ein Bild mit etwas mehr Pixeln:
http://www.embedded-design.net/wp-content/uploads/2017/03/web_126034_MAX1000.jpg

: Bearbeitet durch User
von J. S. (engineer) Benutzerseite


Lesenswert?

NIOS auf einem MAX10?

von Andi (Gast)


Lesenswert?

Jürgen S. schrieb:
> NIOS auf einem MAX10?

Was spricht dagegen?

von Ulf L. (ulf_l)


Lesenswert?

Gustl B. schrieb:
> sehr bastelfreundlich und fairer Preis

Apropos Preis, wo findet man denn da eine Auskunft dazu, ob der auch 
bastelfreundlich ist ?

Gruß Ulf

von C. A. Rotwang (Gast)


Lesenswert?

Peter schrieb:
> Sponsored by Intel?!

Intel hat den FPGA-Hersteller Altera aufgekauft.

von C. A. Rotwang (Gast)


Lesenswert?

Andi schrieb:
> Jürgen S. schrieb:
>> NIOS auf einem MAX10?
>
> Was spricht dagegen?

Die dürftige Ressourcenausstattung 2000 Logikelemente und 12kB Flash für 
den 10M02 - das ist nicht viel für einen 32bit mikrocontroller. Der 
verbaute 10M08 bietet mit 8000 Logicelementen auch nicht viele Resourcen 
für eigenen Peripherie.

Für den Anfang dürfte es reichen, entspricht aber kaum einem heutigen 
Einsteiger ARM-Controller.

von C. A. Rotwang (Gast)


Lesenswert?

Ulf L. schrieb:
> Gustl B. schrieb:
>> sehr bastelfreundlich und fairer Preis
>
> Apropos Preis, wo findet man denn da eine Auskunft dazu, ob der auch
> bastelfreundlich ist ?

Guter Frage, ich finde jetzt nicht mal eine Angabe ob lieferbar?!

von Dergute W. (derguteweka)


Lesenswert?

Moin,

Hm. No Stock Available - und statt einem Preis nur ein Kaestchen mit: 
Angebot erhalten.
Einzige Info: Man kanns in Einzelstueckzahlen kaufen.
Da verlaeuft meine Begeisterungskurve aber schon erstmal eher flach...

Gruss
WK

von Michael H. (Gast)


Lesenswert?

10M08SAE144C8G kostet ca. 20 Ocken bei Mouser.
Das ist arg viel, sodass ich mir hier keinen Praxiseinsatz vorstellen 
könnte. Ich würde sagen, Preis durch 10 oder 15 und ich könnte drüber 
nachdenken.

Wenn ich was damit entwickeln wollte, würde ich ca. den 10M25SAE144
benötigen. Der kostet aber 60 Euro in Einzelstückzahl. Bezahlen würde 
ich 5 Euro maximal in Einzelstückzahl.

Aktuell kostet ein CortexM4 mit ~170 MHz 2.50-5 Euro je nach Flash. Wenn 
FPGAs da mithalten könenn, dann steig ich um...

Intel, machts wie Noyce damals, macht die Teile billig, dann werd ich 
die auch nutzen!

von Ulf L. (ulf_l)


Lesenswert?

Hallo

Wenn eine Applikation mit einem Cortex irgendwas bedient werden kann, 
kommt kein vernünftiger Mensch auf die Idee ein CPLD oder gar FPGA zu 
verwenden. Wenn man aber die pogr. Logik braucht, kann dein Cortex auch 
verschenkt werden, er taugt dann für die Zielapplikation schlicht 
nichts.

Gruß Ulf

von hrmpf (Gast)


Lesenswert?

Ulf L. schrieb:
> Hallo
>
> Wenn eine Applikation mit einem Cortex irgendwas bedient werden kann,
> kommt kein vernünftiger Mensch auf die Idee ein CPLD oder gar FPGA zu
> verwenden. Wenn man aber die pogr. Logik braucht, kann dein Cortex auch
> verschenkt werden, er taugt dann für die Zielapplikation schlicht
> nichts.
>
Danke dafür, Ulf!
Ich verstehe überhaupt nicht, weshalb hier ständig programmierbare 
Logikbausteine (z.B. FPGAs) mit irgendwelchen Mikrocontrollern 
vergleichen werden. Das ist doch Äpfel mit Birnen verglichen.
Und es ist, wie Ulf gesagt hat: wenn ein Mikrocontroller genügt, dann 
nimmt man selbstverständlich den. Es gibt allerdings auch genug 
Anwendungen, wo man mit einem Mikrocontroller nicht mehr hinkommt und 
dann zahlt man das FPGA auch gerne.

von Strubi (Gast)


Lesenswert?

hrmpf schrieb:
> Danke dafür, Ulf!
> Ich verstehe überhaupt nicht, weshalb hier ständig programmierbare
> Logikbausteine (z.B. FPGAs) mit irgendwelchen Mikrocontrollern
> vergleichen werden. Das ist doch Äpfel mit Birnen verglichen.

Nicht zwingend. Es gibt Leute, die eben einen Soft-Core auf die 
Plattform brennen und damit effektiv arbeiten. Leider passt mir das mit 
dem Nios und dem MAX10 auch nicht so ganz zusammen, ich bezweifle, dass 
da jemand eine brauchbare/konkurrenzfähige Referenzlösung hinkriegt. Das 
sieht mir mehr wieder so nach "Lass mal die Community mit irgend nem 
Board was machen" aus.

> Und es ist, wie Ulf gesagt hat: wenn ein Mikrocontroller genügt, dann
> nimmt man selbstverständlich den. Es gibt allerdings auch genug
> Anwendungen, wo man mit einem Mikrocontroller nicht mehr hinkommt und
> dann zahlt man das FPGA auch gerne.

Es gibt durchaus Anwendungsfälle wo sich das FPGA rechnet, auch wenn der 
uC genügen würde. Sind ganz einfach Safety/Time to Market-Aspekte. Die 
Lücke zwischen Prozessor und FPGA wird in mehreren Dimensionen immer 
geringer. Nur ist in der Tat der MAX10 einfach noch zu teuer...

von Patrick B. (p51d)


Lesenswert?

Michael H. schrieb:
> Wenn ich was damit entwickeln wollte, würde ich ca. den 10M25SAE144
> benötigen. Der kostet aber 60 Euro in Einzelstückzahl. Bezahlen würde
> ich 5 Euro maximal in Einzelstückzahl.
>
> Aktuell kostet ein CortexM4 mit ~170 MHz 2.50-5 Euro je nach Flash. Wenn
> FPGAs da mithalten könenn, dann steig ich um...

Wieso willst du ein kleiner uC mit einem FPGA ersetzen? So wie ich das 
sehe, und auch deinen Preisvorstellungen entsprechend hast du keine 
Ahnung wieso man für was ein FPGA nutzt! Das sind komplett 
unterschiedliche Technologien.
Ich würde in einer Low-Power Anwendung auch nie auf die Idee kommen ein 
FPGA zu nutzen. Auf der Anderen Seite kann ein mit 200-300MHz intern 
getacktetes FPGA dein Quad-Core mit 3GHz in die Knie zwingen, da hier 
alles parallel bearbeitet wird und du die verwendeten Busbreiten 
entsprechend optimieren kannst (Ich hatte sogar den Fall, das bei einem 
Kintex-FPGA das angebundene 10G Ethernet nicht mehr reichte).

Strubi schrieb:
> Nicht zwingend. Es gibt Leute, die eben einen Soft-Core auf die
> Plattform brennen und damit effektiv arbeiten

Da kann man heute aber auch mit SoC arbeiten (z.B. ein Zynq von Xilinx). 
Da werden beide Welten in einem Chip kombiniert.

von Gustl B. (-gb-)


Lesenswert?

Als Preis wurden irgendwo hier im Forum mal 20€ als Ziel genannt glaube 
ich ... und selbst für 30€ oder etwas drüber wäre das top. Das Digilent 
Artix Board ähnlicher Größe fängt bei um die 70€ an mit XC7A15T.

Microblaze? Wieso eigentlich? Also ja, man kann vieles mit einem uC 
machen, aber doch auch direkt im FPGA. Ich finde FPGA sehr fein weil ich 
mich da eben nicht um Interrupts und so Zeug kümmern muss, das läuft 
einfach parallel.

von Andi (Gast)


Lesenswert?

C. A. Rotwang schrieb:
>> Jürgen S. schrieb:
>>> NIOS auf einem MAX10?
>>
>> Was spricht dagegen?
>
> Die dürftige Ressourcenausstattung 2000 Logikelemente und 12kB Flash für
> den 10M02 - das ist nicht viel für einen 32bit mikrocontroller. Der
> verbaute 10M08 bietet mit 8000 Logicelementen auch nicht viele Resourcen
> für eigenen Peripherie.
>
> Für den Anfang dürfte es reichen, entspricht aber kaum einem heutigen
> Einsteiger ARM-Controller.

Guter NIOS support war doch eines der Design-Ziele bei der Entwicklung 
der MAX10 FPGAs. Laut Intel/Altera soll die kleinste Economy Version des 
NIOS nur 700 LEs benötigen.
Die grössten MAX10 gehen bis 50k LUTs, da kannst du wahrscheinlich auch 
10 NIOS CPUs implementieren.
Mein Verdacht war einfach das Jürgen S. nocht nicht verstanden hat, dass 
MAX10 nichts mit den früheren kleinen MAX CPLDs zu tun hat, sondern 
ernstzunehmende FPGAs sind. Nur halt einfacher zu handhaben, da Flash 
und Spannungsregler auf dem Chip sind.

Ich selber würde keinen NIOS einsetzen, da das schnell mal recht teuer 
wird. Es gibt ja genügend freie Alternativen.

von Jan (Gast)


Lesenswert?

Könnte man mit diesem board einen SDR realisieren? Natürlich wenn man 
einen schnellen und guten AD wandler anschließen würde. Was meinen die 
Experten

Beitrag #4963662 wurde von einem Moderator gelöscht.
Beitrag #4964014 wurde von einem Moderator gelöscht.
von Bitwurschtler (Gast)


Lesenswert?

Jan schrieb:
> Könnte man mit diesem board einen SDR realisieren? Natürlich wenn man
> einen schnellen und guten AD wandler anschließen würde. Was meinen die
> Experten

2000 LE ist ein bißchen mager für SDR 
https://people.ece.cornell.edu/land/courses/ece5760/CPUs/SoftwareRadio.pdf

Für CW (einfache Modulation) könnte es reichen. Und da ELF auch mit 
normalen PC-Soundcards lief, könnte das auch mit dieser Magerbrust was 
werden.

von Gustl B. (-gb-)


Lesenswert?

Ist halt die Frage was mit "SDR" genau gemeint ist.
Man kann da einen ADC anschließen und die Samples über USB zum PC 
schicken. Das braucht nur wenig Platz im FPGA und am PC geht es dann mit 
GNU Radio weiter. Schön wäre es natürlich wenn der FT2232H dazu nicht 
nur als UART (bis 12 MBaud) angebunden ist.

von Lars R. (lrs)


Lesenswert?

https://shop.trenz-electronic.de/de/TEI0001-02-08-C8-MAX1000-IoT-Maker-Board?c=187

Ist 50K Lut geplant?

4..8...16K Lut ist alles nicht weit auseinander. Das nimmt sich doch 
preislich nicht viel ebenso wie 40k...50k, oder?

Will sagen: Jeder der nicht nennenswerte Stückzahlen abnimmt, könnte 
doch statt 8k auch 16k nehmen und statt 40k auch 50k.

von Andi (Gast)


Lesenswert?

Bitwurschtler schrieb:
> Jan schrieb:
>> Könnte man mit diesem board einen SDR realisieren? Natürlich wenn man
>> einen schnellen und guten AD wandler anschließen würde. Was meinen die
>> Experten
>
> 2000 LE ist ein bißchen mager für SDR
> https://people.ece.cornell.edu/land/courses/ece5760/CPUs/SoftwareRadio.pdf
>
> Für CW (einfache Modulation) könnte es reichen. Und da ELF auch mit
> normalen PC-Soundcards lief, könnte das auch mit dieser Magerbrust was
> werden.

Der FPGA Baustein auf dem Board hat 8000 LEs und etwa 42kByte Block-RAM 
(9bit breit) und mindestend ebensoviel User-Flash. Dazu kommen externe 
8MB SDRAM.

Laut Arrow soll es ein "Production Board" sein, also nicht nur ein 
gesponsertes Lockvogelangebot.

von Jan (Gast)


Lesenswert?

Vielleicht sollte man für sdr Anwendunge das Red Pitaya board nehmen. 
Hat schon entsprechende ADCs drauf, kostet aber auch deutlich mehr.

von Lars R. (lrs)


Lesenswert?

Andi schrieb:
> Laut Arrow soll es ein "Production Board" sein, also nicht nur ein
> gesponsertes Lockvogelangebot.

Fast kein Mensch benötigt ausgerechnet einen LIS3DH auf einem 
"Production Board". Arrow (US?) hat bereits das BeMicro MAX10 für 30USD.

Dieses Board hier ist IMHO offensichtlich von Trenz entwickelt.

Das hier genutzte package ist "praktischerweise" nur bis 16KLUT 
verfügbar....

Es gibt immer noch relativ wenige universell einsetzbare 
FPGA-Module/SoMs. Auf diesem Gebiet fast allen voran: Trenz.

von Gustl B. (-gb-)


Lesenswert?

Naja, wegen dem Ardiuno Header Layout und so ist das Teil eher für Leute 
die mit FPGA anfangen wollen und noch nicht wissen was sie genau 
brauchen. Und da sind ein Paar Dinge zum Lernen wie RAM, LEDs, 
Beschleunigungssensor, UART und ADC echt fein. PMOD Header natürlich 
auch. Ich habe kein Board mit aktuellem Altera FPGA und werde mir diese 
kaufen.

von Lars R. (lrs)


Lesenswert?

Gustl B. schrieb:
> Naja, wegen dem Ardiuno Header Layout und so ist das Teil eher für Leute
> die mit FPGA anfangen wollen und noch nicht wissen was sie genau
> brauchen. Und da sind ein Paar Dinge zum Lernen wie RAM, LEDs,
> Beschleunigungssensor, UART und ADC echt fein. PMOD Header natürlich
> auch.

Das sehe ich etwas anders: Falls man ein MAX10 8k Board benötigt, so ist 
das Trenz MAX1000 das Beste, was man aktuell für sein Geld bekommen 
kann. Gerade RAM und FT2232H sind ICs, die man nicht gern/gut über 
Pinheader anbindet und genau diese beiden ICs sind mit drauf. Scheinbar 
kann man selbst noch Flash auflöten(?). ADC ist im FPGA package. Die 8 
LEDs kosten nichts.
Die Pinheader müssen in irgend einer Art angeordnet sein. Die Anordnung 
als Arduino/PMOD erweitert eher die Möglichkeiten, als dass es auf einen 
bestimmten Anwenderkreis einschränkt.

Natürlich macht der LIS3DH mit seinen 50+x Cent das Board nicht teuer. 
Es nur schade, dass bei diesem Layout/package bei 16k Schluss ist.

von weltbester FPGA-Pongo (Gast)


Lesenswert?

Andi schrieb:
> MAX10 ernstzunehmende FPGAs sind. Nur halt einfacher zu handhaben,
> da Flash und Spannungsregler auf dem Chip sind.

... was die Dinger aber nicht flexibler macht. Wenn Flash mit auf dem 
Chip ist, ist die Grösse ja nicht mehr festlegbar.

Da bin Ich mal gespannt, ob man bei großen FPGAs wirklich 10 NIOS 
Instanzen füttern kann.

von Andi (Gast)


Lesenswert?

Wenn du ein externes grosses Flash willst kannst du das ja trotzdem 
anschliessen, es enthält halt nur deinen Code und Daten, keine 
Konfiguration.
Das scheint auf dem MAX1000 Board ja auch so vorgesehen zu sein.

Der MAX10 kann sich leider wirklich nur vom internen Flash konfigurieren 
was ich schade finde, da man dadurch immer einen JTAG Anschluss auf dem 
Print vorsehen muss, und nicht einfach ein vorprogrammiertes Flash 
auflöten kann.


10 NIOS in einem 10M50 sind sogar eher konservativ geschätzt (5000 LEs 
per NIOS) aber ich habe keine Ahnung ob die NIOS Entwicklungstools sowas 
überhaupt unterstützen.

Von Mico32, RISC-V oder ZPUs könnte man auch 20 und mehr reinpacken, 
wenn's Sinn macht...

Andi

von C. A. Rotwang (Gast)


Lesenswert?

Gustl B. schrieb:
> Naja, wegen dem Ardiuno Header Layout und so ist das Teil eher für Leute
> die mit FPGA anfangen wollen und noch nicht wissen was sie genau
> brauchen.

Und die am liebsten die Hardware-Clones vom Chinese geschenkt haben 
wollen.

Meines Erachtens hat man sich an diesem FPGA-"Boardchen" kaputt gespart. 
Ein FPGA ist eben nicht ein mikrocontroller-ersatz, sondern passt besser 
in die DSP-Ecke.
Nur haben typische DSP-Anwendungen wie Video, Phased array, komplexe 
(Motor)-Regelstrecken kaum Zuspruch in der Maker/Bastlerecke gefunden. 
Mit diesem "Boardchen" wird sich das auch nicht ändern. Dazu fehlen IMHO 
typische "DSP-Peripherie" wie ein brauchbarer ADC (FLASH, 50MSPS), 
Videoausgang wäre auch nicht schlecht, schneller Echtzeitfähiger Kanal 
zum Host,... . Einzig die Beschleunigungssensoren könnten IMHO vom FPGA 
als DSP profitieren.

> Und da sind ein Paar Dinge zum Lernen wie RAM, LEDs,
> Beschleunigungssensor, UART und ADC echt fein. PMOD Header natürlich
> auch.

Das ist m.E. µC-Klimbin, der mich persönlich nicht dazu bringen würde 
mit FPGA-typischen Anwendungen zu beschäftigen. Mich erinnert dieses 
"Boardchen" nicht zuletzt vom Formfactor an das Infineon XMC 2Go und 
nicht an ein FPGA-Board. Ein m.E. preiswertes und für embeded computing 
brauchbares Arrow Altera board war das LPRP:
https://www.arrow.com/de-de/products/lprp/arrow-development-tools

Zielte jetzt zwar auch nicht in Richtung DSP sondern embedded, brachte 
aber alles notwendig (Mini-display, SDCard, paar Knöpfe) bspw. für einen 
MP3-player mit.

>Microblaze? Wieso eigentlich? Also ja, man kann vieles mit einem uC
>machen, aber doch auch direkt im FPGA. Ich finde FPGA sehr fein weil ich
>mich da eben nicht um Interrupts und so Zeug kümmern muss, das läuft
>einfach parallel.

Das ist in der Tat etwas was mal ausprobieren sollte - welche 
µC-Aufgaben lassen sich besser FPGA-typisch als mit µC Hardware 
realisieren.

In allen bisheren µC auch in den im FPGA ist es immer dasselbe:
CPU-Core <> BUS <> Peripheral.
So richtig parallel ist nix, da muss immer die sequentielle CPU und 
andere Aufgaben unterbrechen um irgendwo ein Bit-Banging am Laufen zu 
halten. Oder stupide Daten zu schaufeln was ein DMA-Coprozessor besser 
könnte. Es hat m.E. Ansätze gegeben SoC nicht als als "Core füttert 
Peripheral" aufzubauen sondern das System aus "selbstständig agierenden 
Co-Prozessoren" aufzusetzen, wie beispielsweise der Commodore Amiga. Was 
so im FPGA-bereich als SoC angeboten wird, ist mir zu sehr in Richtung 
uC entwickelt. Ja es ist schon schön wenn man im FPGA-µC bspw. weitere 
SPI-Master Peripherals instanziieren kann wenn einem der einzige 
Standard-SPI nicht aussreicht oder am "falschen" Pin steckt. Aber das 
botleneck Intteruptgesteuertes Core bleibt. Warum nicht eine 
(hardcodierte) FSM statt programmgesteuerten µC?
Für solche Design-Experimente scheint mir das "Boardchen" geeignet. Für 
alles andere sollte man IMHO besser sich ein "richtiges" µC- oder ein 
"richtiges" FPGA-Board greifen.

von Strubi (Gast)


Lesenswert?

C. A. Rotwang schrieb:
> Aber das
> botleneck Intteruptgesteuertes Core bleibt. Warum nicht eine
> (hardcodierte) FSM statt programmgesteuerten µC?

Es gibt durchaus Cores mit DMA-Erweiterung, wo die CPU nur noch die 
DMA-Deskriptoren initialieren muss. IRQs werden nur noch zum Updaten von 
z.B. Paket-Headern benutzt.
Die hartcodierte FSM ist ev. ab einer gewissen Komplexität im Feld 
schwerer zu debuggen als ein simpler Prozessor.
Man kann aber auch beides haben: mit Mikrocode-Emulation gespickte 
Architekturen. Da kann inline im Programmcode z.B. eine komplexe 
DSP-Routine ablaufen. Das ist eben das schöne an den FPGAs im Vergleich 
zum uC. Scheint nur hierzulande kaum populär, beide Welten zu 
kombinieren. Dementsprechend starr sind die uBlaze/NIOS/mico32-Lösungen 
auf klassischen uC-Betrieb ausgerichtet, und im Rahmen eines brauchbaren 
Systemprozessors wohl etwas an der Grenze zur Benutzbarkeit auf so nem 
Board. Aber ich lass' mich gern überraschen.

von Andi M. (andi6510) Benutzerseite


Lesenswert?

Der verwendete MAX10 ist nicht so verkehrt. Benutze den selber im meinem 
aktuellen Projekt (http://www.fpgasid.de).

Fuer mich sehr von Vorteil ist Folgendes:

* Eingebautes Flash spart separaten Konfigurationsspeicher und bietet 
sogar noch 32kB user Flash fuer meine lookup-Tabellen.
* Eingebauter ADC mit 12Bit@1MHz. Sehr nett, da kein Interface Gefummel 
mit externem ADC noetig ist.
* DSP Resourcen (die sich zwar nur auf nackte Multiplier beschraenken, 
aber immer noch besser als nichts)

Eine CPU habe ich darin nicht instanziert. Aber ein NIOS-II soll wohl 
rein passen, wer das brauchen sollte.

Kurzum: Das MAX1000 board sieht fuer mich sehr interessant aus. Der 
Preis waere tatsaechlich jetzt ganz interessant zu erfahren. Ich tippe 
mal auf knapp unter 50$ oder so. Das kostet zumindest das offizielle 
MAX10-Board von Altera:
https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html

von Lars R. (lrs)


Lesenswert?

Andi M. schrieb:
> Preis waere tatsaechlich jetzt ganz interessant zu erfahren. Ich tippe
> mal auf knapp unter 50$ oder so. Das kostet zumindest das offizielle
> MAX10-Board von Altera

Nur 20 Euro.

https://shop.trenz-electronic.de/de/TEI0001-02-08-C8-MAX1000-IoT-Maker-Board?c=187

von Andi M. (andi6510) Benutzerseite


Lesenswert?

Ja hoppla! Da muss ich wohl gleich bestellen ;-)

von Lars R. (lrs)


Lesenswert?

Andi M. schrieb:
> Das kostet zumindest das offizielle
> MAX10-Board von Altera:
> 
https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html

Im Gegensatz dazu hat das MAX1000 noch SDRAM dabei.

von Gustl B. (-gb-)


Lesenswert?

Weil ich dazu noch nichts gefunden habe und auch bei Trenz nichts dazu 
steht:
Da ist ja en FT2232H drauf. Kann der ausser als USB-Blaster noch für was 
Anderes genutzt werden? Sprich, ist der als UART oder vielleicht sogar 
für parallel FIFO Betrieb an das FPGA angeschlossen?

von C. A. Rotwang (Gast)


Lesenswert?

Lars R. schrieb:

> Nur 20 Euro.


Zzgl Mwst und Versand ->
29,12€

für 15 Ocken mehr gibbets bei ebay ein Cyclone IV board mit mehr 
Peripherie als diese Streichholzschachtel

http://www.ebay.de/itm/Altera-Cyclone-IV-FPGA-USB-Development-Learning-Board-EP4CE6E22C8N-/121768063938?hash=item1c59f133c2:g:qNsAAOSwu4BVyLY5

Allerdings mit dem üblichen Risiko eines China-Clones.

von otto (Gast)


Lesenswert?

"Allerdings mit dem üblichen Risiko eines China-Clones."

Ist kein Risiko, die machen es besser, ohne frage.

Dieses scheiß deutsche-europa Industrie...kannste in die Tonne 
kloppen...keine Leistung aber mehr Geld (Euro)...

Gruaa

von Andi (Gast)


Lesenswert?

Wenn ich es bei Trenz bestellen würde:
MAX1000 Board           20€
Versandkosten Schweiz:  38€  (UPS Saver, günstigeres gibt es nicht)
Total                   58€

Das machen die Chinesen eindeutig besser.


Ich vermisse auch irgend eine Dokumentation zum runterladen vor dem 
Kauf. Schema und Pinbelegung würden mir ja schon genügen.

von Tobias P. (hubertus)


Lesenswert?

Andi schrieb:
> Ich vermisse auch irgend eine Dokumentation zum runterladen vor dem
> Kauf. Schema und Pinbelegung würden mir ja schon genügen.

Dito. Davon abgesehen, dass der Lagerbestand überall 0 ist und die 
Lieferfrist unbekannt. Schade, denn wenns verfügbar wäre, hätte ich 
gleich eins gekauft, aber man scheint da ein wenig 'Management by 
Känguru' zu betreiben - grosse Sprünge machen mit leerem Beutel :-)

von C. A. Rotwang (Gast)


Lesenswert?

Tobias P. schrieb:
> Andi schrieb:
>> Ich vermisse auch irgend eine Dokumentation zum runterladen vor dem
>> Kauf. Schema und Pinbelegung würden mir ja schon genügen.

Doku scheint dort verfügbar:
https://www.altera.com/products/boards_and_kits/dev-kits/altera/kit-max-10-evaluation.html

Allerdings, lädt man sich bei "Complete kit dokumentation" eine .exe 
runter, nach deren Start die schemas wohl installiert wären. Ich starte 
aber kein exe aus dem Internet mit Herausgeber "unbekannt" auf meinem 
Rechner. Vielleicht hat das mal einer in einer VM gemacht und kann die 
.pdf's extrahieren

> Dito. Davon abgesehen, dass der Lagerbestand überall 0 ist und die
> Lieferfrist unbekannt.

Also gestern abend stand bei Trenz, Lieferung voraussichtlich zum 
06.04.17 und in Warenkorb legen ging auch. Bestellt hab ich aber nicht, 
bei den kurzen Beinchen läuft das Boardchen nicht weg. Diese 
Vorankündigungdpolititk find ich aber auch fragwürdig.


PS:
Andi schrieb:
> Wenn ich es bei Trenz bestellen würde:
> MAX1000 Board           20€
> Versandkosten Schweiz:  38€  (UPS Saver, günstigeres gibt es nicht)
Langsam überleg ich, ob man so ne private Hobbyisten 
Elektronikversorgung für Schweizer in München aufbaut. Firmentechnisch 
habe ich Kontakt zu eiem Unternehmen in Heerbrugg (SG)würd jetzt aber 
ungern Cheffe "a privates packerl" für die Schweiz in die Tasche 
stecken.

von Tobias P. (hubertus)


Lesenswert?

C. A. Rotwang schrieb:
> Langsam überleg ich, ob man so ne private Hobbyisten
> Elektronikversorgung für Schweizer in München aufbaut.

Ja, sicher dumm wäre sowas nicht. Manchmal habe ich echt das Gefühl, 
dass wir diesbezüglich hier sehr unterversorgt sind.

von Strubi (Gast)


Lesenswert?

Tobias P. schrieb:
> C. A. Rotwang schrieb:
>> Langsam überleg ich, ob man so ne private Hobbyisten
>> Elektronikversorgung für Schweizer in München aufbaut.
>
> Ja, sicher dumm wäre sowas nicht. Manchmal habe ich echt das Gefühl,
> dass wir diesbezüglich hier sehr unterversorgt sind.

Tja, irgendwie bezeichnend für eine gewisse Absurdität, dass man sich 
einfacher bei SeeedStudio ein FPGA-Brett bestellt hat, als einen 
passenden Distributor zu finden.
Gustls Frage würde mich auch interessieren. Das FIFO-Interface wird 
nämlich gerne mal bei den üblichen Boards weggelassen, was die Frage 
aufwirft, ob sich da die HDL-Leute des Herstellers an den knackigen 
Timings schon die Zähne ausgebissen haben...

von Gustl B. (-gb-)


Lesenswert?

Nun, so knackig ist das Timing nicht. Ich verwende gerne den UM232H und 
bekomme sehr zuverlässig dauerhaft deutlich über 30MBytes/s hin. Ist 
halt schade dass der FT2232 oft verbaut wird, auch bei Digilent, aber 
dann nur als UART (immerhin bis 12MBaud) angebunden wird.

von Balgacher (Gast)


Lesenswert?

C. A. Rotwang schrieb:
> habe ich Kontakt zu eiem Unternehmen in Heerbrugg (SG)würd jetzt aber
> ungern Cheffe "a privates packerl" für die Schweiz in die Tasche
> stecken.

d.h du möchtest - getarnt als offizielle Lieferung - einen Elektronik in 
CH importieren? Wieso? Kannst es doch als privates Gut einführen und Dir 
die MWSt erstatten lassen. Mehr als 400,- sollte es aber nicht kosten.

Wenn du aber schon schmuggelst:

Du könntest noch bei der Vorarlberger Sparkasse in St. Margrethen 
vorbeischauen und mir von dort von meinem Konto 9999,- Euro abheben und 
mitbringen. Wäre ein Hunni Belohnung für Dich.

von t45 (Gast)


Lesenswert?

Interessant aber das MachX03-6900C Board von Lattice reizt mich momentan 
mehr. Kostet auch 20€. Das Problem ist man bekommt es nach Deutschland 
nur mit Wucher Versandkosten.
Warum auch immer. Rein logistisch scheint es ja möglich zu sein das 
solche Produkte für ein paar Euro einmal um ganz Eurasien verschickt 
werden.

von MagIO (Gast)


Lesenswert?

Das Lattice hab ich bei digikey bestellt. War bei 60€ Bestellwert 
Versandkostenfrei und innerhalb von 2 Tagen da.

von ♪Geist (Gast)


Lesenswert?

Und welcher Teil davon hat die Bezeichnung IoT verdient?

von MagIO (Gast)


Lesenswert?

Genau soviel, wie das vom Thread-Owner im Eingangs-Post erwähnte Board.
Fpga - Haken dran
Maker - Haken dran
Board - Haken dran
IoT - Naja ... da muss der Maker etwas nachhelfen
Immerhin 75% OK ;-)

Z.B. nen Pi dran, oder nen Arduino mit Ethernet-Shield, oder direkt ein 
kleines WiFi-Board mit serieller Schnittstelle.

von ♪Geist (Gast)


Lesenswert?

MagIO schrieb:
> Immerhin 75% OK ;-)
>
> Z.B. nen Pi dran, oder nen Arduino mit Ethernet-Shield, oder direkt ein
> kleines WiFi-Board mit serieller Schnittstelle.

Dann ist mein WC Sitz auch quasi IoT, denn da kann ich ein ESP8266 Board 
rankleben...

von Markus F. (mfro)


Lesenswert?

Jemand eine Idee, was Trenz unter "Customized" versteht?

Oder anders gefragt: wieviele von den Dingern muß ich nehmen, damit ich 
64 (statt 8) MB RAM bekomme?

von Gerd E. (robberknight)


Lesenswert?

♪Geist schrieb:
> Dann ist mein WC Sitz auch quasi IoT, denn da kann ich ein ESP8266 Board
> rankleben...

Herzlichen Glückwunsch, Du hast hiermit die Qualifikation für die 
Marketing-Abteilung erworben ;->

von Frank (Gast)


Lesenswert?

Markus F. schrieb:
> Oder anders gefragt: wieviele von den Dingern muß ich nehmen, damit ich
> 64 (statt 8) MB RAM bekomme?

Vllt meinen sie mit Customized, dass du die 8 MB runter werfen kannst 
und 64MB drauf löten!?

von Andi (Gast)


Lesenswert?

Heute in einem Info-Mail von Arrow:

> MAX1000 IoT/Maker Board:
> Basierend auf einen MAX10 mit 8kLE könen Sie schnell, einfach und
> kostengünstig evaluieren. Das MAX1000 kann auch in ein Endprodukt integriert
> werden und in grosser Stückzahl bezogen werden. Auch kundenspezifische
> Varianten mit Bestückungs-Optionen oder auch komplette PCB Änderung können
> vorgenommen werden.
> Das Standard-MAX1000 Board ist für 22€‚¬ in ca. 6 Wochen erhältlich.

von Markus F. (mfro)


Lesenswert?

Jetzt ist es zwar immer noch nicht lieferbar, aber von gestern auf heute 
immerhin schon mal teurer geworden:

https://shop.trenz-electronic.de/de/TEI0001-02-08-C8-MAX1000-IoT-Maker-Board?c=187&showb2c=0

Seltsame Vorgehensweise.

von Duke Scarring (Gast)


Lesenswert?

Markus F. schrieb:
> Jemand eine Idee, was Trenz unter "Customized" versteht?
Die Preise, die mir vor ein paar Jahren für kundenspezifische Änderungen 
genannt worden, waren wimre akzeptabel.

> Oder anders gefragt: wieviele von den Dingern muß ich nehmen, damit ich
> 64 (statt 8) MB RAM bekomme?
Das erfährst Du am Besten, wenn Du bei Trenz mal nachfragst.

Duke

von Bitwurschtler (Gast)


Lesenswert?

Markus F. schrieb:
> Jetzt ist es zwar immer noch nicht lieferbar, aber von gestern auf heute
> immerhin schon mal teurer geworden:
>
> 
https://shop.trenz-electronic.de/de/TEI0001-02-08-C8-MAX1000-IoT-Maker-Board?c=187&showb2c=0
>
> Seltsame Vorgehensweise.

Och, das haben die sich von Apple abgeschaut - künstlich einen 
Lieferengpass erzeugen, Erwartungen durch Vorankündigungen wecken, Preis 
mit Kundenbegehrlichkeiten hochsetzen und auf dem Gipfel der 
unbefriedigten Nachfrage alle Lagerbestände innerhalb eines Tages 
losschlagen.

Ich glaub, sobald die Clickzahlen im Trenz'schen WebShop sinken, beginnt 
der Verkauf...

von Strubi (Gast)


Lesenswert?

Bitwurschtler schrieb:
> Och, das haben die sich von Apple abgeschaut - künstlich einen
> Lieferengpass erzeugen, Erwartungen durch Vorankündigungen wecken, Preis
> mit Kundenbegehrlichkeiten hochsetzen und auf dem Gipfel der
> unbefriedigten Nachfrage alle Lagerbestände innerhalb eines Tages
> losschlagen.

Nur dass das MBS'ing a la "IoT", "Maker", usw. eher Fremdscham bei mir 
auslösen als Begehrlichkeiten...
Viel Wind um was, was z.B. innovative Firmen wie cesys schon seit Jahren 
mit brauchbarem SW-Support bedienen.

von Bitwurschtler (Gast)


Lesenswert?

Strubi schrieb:
> Nur dass das MBS'ing a la "IoT", "Maker", usw. eher Fremdscham bei mir
> auslösen als Begehrlichkeiten...

Vielleicht war Intel früher genauso, sahen ihren Kundschaft lieber bei 
der NASA und anderen Rocket Scientist die die Chips auch bei 400 US$ das 
Prachtstück abkauften.
Dann kam MOS Technology und verscheuerte ihren 6502 an Akademische 
Underdogs ohne Dr-titel für 25 US$ oder gar in Daddelkonsolen für den 
Zeitvertreib der Computerproletarier. Und irgendwann lernte Intel, das 
man über (potentielle) Kundschaft nicht die Nase rümpfen darf sondern 
auch an "dummen" Kunden ein Dollar zu verdienen ist.
Jetzt mimmt intel sogar deren PseudoTechSprech und dreht den Entwicklern 
(egal ob Profi- oder Möchtegern-) gepimpte PAL's als 
IoT-Wundermaschienchen an, die jedem zum Vorreiter-Cyborg machen. Hat ja 
bei Arduino auch geklappt ...

von Donald (Gast)


Lesenswert?

Meine Güte. Warum müssen einige immer herumstänkern?

Hat jemand behauptet das Board hätte ultimative Eigenschaften? Nein.
Wird jemand gezwungen dieses Board zu kaufen? Nein.
Hat jemand behauptet das es für jeden Sinn macht dieses Board zu kaufen? 
Nein.

Es ist ein kleines kostengünstiges FPGA Board. Und ja es lässt sich wenn 
man will für IoT Konzepte einsetzen.
IoT Ideen werden mit elektronischen Komponenten verschiedener Art 
umgesetzt, mehr ist das nicht. Es hat auch niemand behauptet das dieses 
Board alles nötige oder mögliche für IoT Ideen bietet. Für 20€ kann man 
das auch nicht erwarten.

Also Schluss mit dem wäh wäh wäh.

von Klartexter (Gast)


Lesenswert?

Donald schrieb:

> Es ist ein kleines kostengünstiges FPGA Board.
Ein Fpga board video ausgänge etheenet taster  - das hier 
schütteldetektoren und leds - schlechter deal

Und ja es lässt sich wenn
> man will für IoT Konzepte einsetzen.
> IoT Ideen werden mit elektronischen Komponenten verschiedener Art
> umgesetzt,

Ich seh keinerlei Internetkonnekti ity mit de board.Du?

von Ordner (Gast)


Lesenswert?

Klartexter schrieb:
>> Es ist ein kleines kostengünstiges FPGA Board.
> Ein Fpga board video ausgänge etheenet taster  - das hier
> schütteldetektoren und leds - schlechter deal
>
> Und ja es lässt sich wenn
>> man will für IoT Konzepte einsetzen.
>> IoT Ideen werden mit elektronischen Komponenten verschiedener Art
>> umgesetzt,
>
> Ich seh keinerlei Internetkonnekti ity mit de board.Du?

Ich sehe einen nahezu unlesbaren Post. Und du?

von Gustl B. (-gb-)


Lesenswert?

Klartexter schrieb:

> Ein Fpga board video ausgänge etheenet taster  - das hier
> schütteldetektoren und leds - schlechter deal

> Ich seh keinerlei Internetkonnekti ity mit de board.Du?

Auf welches Board beziehst Du Dich? Welches gibt es mit Video und 
Ethernet für kleiner 30€ samt Programmieradapter?

Für VGA braucht man übrigens nur 3 FPGA IOs.

von C. A. Rotwang (Gast)


Lesenswert?

Klartexter schrieb:
> Donald schrieb:
>
>> Es ist ein kleines kostengünstiges FPGA Board.
> Ein Fpga board video ausgänge etheenet taster  - das hier
> schütteldetektoren und leds - schlechter deal

Dieser Buchstabensalat hat schon ne kernige Wahrheit. Wenn man es sich 
recht beschaut hat dieses Board genau eine Referenzanwendung, das 
Blinkenlassen von LED's wenn es geschüttelt wird - also die einer 
Babyrassel. Wenn man eine Piezosummer drannagelt macht es auch den 
Spielzeugtypisch nervigen Krach. Fremdschämen passt da schon:
"Eh boah, hab hier für nur 30€ eine Babyrassel mit FPGA gekauft. Und 
geil, IoT steht auch auf der Verpackung".


Gustl B. schrieb:

> Für VGA braucht man übrigens nur 3 FPGA IOs.

Nein, du brauchst auch noch die Möglichkeit diese pins für Monochrom an 
ein VGA-Kabel zu verbinden. Also eine VGA-Buchse anlöten. Also brauchst 
Du Lötzeug. Oder ein Steckbrett oder musst ein VGAkabel aufschneiden. 
Brauchst also Cuttermesser. Oder ein VGA-Shield und Patch wire... Und 
raus kommt immer nur eine geflickte Bastellösung. Hätte der Hersteller 
nicht die 3 US$ dollar für die Buchse gespärt, hätte man eine brauchbare 
VGA-FPGA-Eval-Platform.

> Welches gibt es mit Video und
> Ethernet für kleiner 30€ samt Programmieradapter?

Also ich persönlich denke da an das LPRP, das es im Abverkauf für 20€ 
gab.
Beitrag "ALTERA The Low Power Reference Platform (LPRP) = 19,99€!!"

Und nur nach dem Preis geschaut führt leicht zu kaputtgesparten 
Produkten. Klar kann man für 200€ weniger ein Auto ohne Räder kaufen und 
damit wunderbar das Einstellen der Sitzhöhe und der Aussenspiegel üben. 
Aber sonst ist das vermeintliche Schnäppchen nur ein Haufen Schrott.

Bei FPGA-Boards sehe ich das ähnlich, hier musste halt 20 - 30 € 
drauflegen  für einen China-clone mit gescheiten Schnittstellen. Das 
funktioniert dann aber auch gleich ab Auspacken (ohne Monochrom 
dranfrickeln) und beschäftigt den Einsteiger locker ein Jahr.

von Gustl B. (-gb-)


Lesenswert?

Ernsthaft? Das Ding ist für Maker, wenn Du nicht basteln willst bist Du 
nicht Zielgruppe.
Ich selber brauche kein VGA, das Board bietet genug für den Preis.
Das Altera LPRP bietet auch nicht irre viel. Kein Videoausgang, kein 
Ethernet. Hat es UART? Ein lächerlich kleines Display und einen SD Card 
Slot, so als wäre die einzige Anwendung ein MP3 Player.
Aber gut, wer es nicht will soll es einfach nicht kaufen. Im Vergleich 
zu den Chinaboards bietet Trenz ein Supportforum, hohe 
Fertigungsqualität und die Schaltpläne.

von Andi (Gast)


Lesenswert?

FPGA Entwicklungsboards mit vielen Schnittstellen, Tastern und LEDs 
drauf gibt es doch schon reichlich, auch für Max10.

Ich sehe das MAX1000 als Max10 Breakout-Board mit eingebautem Programmer 
und USB Kommunikation (hoffe ich). Für Bastler (altdeutsch für Maker) 
die lieber ein FPGA, ein FT2232, ein SDRAM, Oszillator und 
Spannungsregler schon fertig aufgelötet kaufen, und das zu einem 
günstigeren Preis, als die einzelnen Bauteile einzeln kosten würden. 
Ganz zu schweigen vom Aufwand fürs BGA und QFN löten.

Das da so unnötige Dinge wie 8 LEDs und Beschleunigungssensor drauf sind 
stört mich nicht. Kostet nicht viel und  erweitert halt die Zielgruppe 
um diejenigen die mal schnell FPGAs für wenig Geld ausprobieren wollen.

von Bitwurschtler (Gast)


Lesenswert?

Andi schrieb:
> Das da so unnötige Dinge wie 8 LEDs und Beschleunigungssensor drauf sind
> stört mich nicht. Kostet nicht viel und  erweitert halt die Zielgruppe
> um diejenigen die mal schnell FPGAs für wenig Geld ausprobieren wollen.

Du probierst mit diesen Board keinen FPGA schnell aus, weil Du keine 
typischen FPGA-Anwendungen damit antesten kannst. Das ist ein 
überteuerstes Mikrocontrollerboard, da man kann µC-typische Anwendungen 
wie LED-blinken und low Speed Kommunikation drauf laufen lassen. Die 
FPGA Experience beschränkt sich aufs Rumklicken mit der 
Quartus-Oberfläche um den µC Core aufs Boart zu bringen. Das kann man 
auch ohne Hardware.

Der Lerneffekt bezüglich FPGA ist ähnlich wie der beim "schnell 
ausprobieren eines Arduino-Boards" in bezug auf Entwurf v. Embedded 
Systemen -> nahezu 0.

Aber darauf wurde hier schon mal mehrmals hingewiesen.
Meiner Meinung nach ist das Geld selbst fürs Ausprobieren zum fenster 
rausgeschmissen. Zum autodidaktisch FPGA lernen gibt es bessere Boards 
die kommen auch mit vernünftig aufbereiteten Beispielen, Handbüchern 
etc. daher. Zu diesem Board gibbets zum heutigen Zeitpunkt weder 
Liefertermin, noch schematic, noch referenzdesign. Aber der Preis ist 
schon mal bekannt. Oder auch nicht. wenn man sich die die 
Preissteigerungen der letzten Tagen bei trenz anschaut.

von Gustl B. (-gb-)


Lesenswert?

Gerade das nicht. Klar, typische FPGA Anwendungen liegen wo anders, aber 
für Anfänger ist das doch genau richtig. Lauflicht, UART, RAM und Sensor 
ansprechen, SPI, ... das ist doch TOP! Ist halt die Frage was man lernen 
will, ein System zusammenklicken mit fertigen IP Kernen und dann hat man 
einen uC oder eben selber Hardware beschreiben mit einer HDL. Gut, dazu 
bräuchte man kein Board sondern könnte den Simulator nutzen, aber das 
gilt auch wenn man C für uC lernen will.

Ich habe bisher noch nie eine CPU im FPGA gebraucht und finde das ist 
sogar eine Hürde für Leute die Hardwarebeschreibung/Digitalschaltung 
lernen möchten. Und zwar müssen die bei einer CPU auch noch 
Rechnerarchitektur und eine Programmiersprache wie C lernen. Ohne CPU 
reicht erstmal eine HDL.

Wir verwenden in der Uni für einen Kurs das Nexys4 Board, und da soll 
Hardwarebeschreibung gelernt werden, nicht uC. Da schafft man es nicht 
in einem Semester alles in Betrieb zu nehmen, man fängt an mit 
Kombinatorik, dann getaktete Schaltungen wie Lauflicht und PWM und am 
Ende kommt man bei SPI/UART und XADC an, die Lernkurve ist steil. Dieses 
Board hier würde da auch völlig ausreichen für, in meinen Augen ein 
Prima Angebot für Leute die Hardwarebeschreibung lernen wollen und eben 
nicht nur mit dem Simulator arbeiten möchten. Dank Arduino ist es dann 
auch noch sehr einfach das mit einer CPU zu verheiraten und z. B. 
Ethernet anzuschließen.

von Markus F. (mfro)


Lesenswert?

M.E. ist es überhaupt kein Nachteil, daß da keine VGA-Buchse dranklebt. 
Die wär' ja doch am falschen Pin gehangen. Ich persönlich finde das 
Board nett und werde es mir auch kaufen (falls sich der Preis nicht noch 
wesentlich erhöht, bis man das kann). Zwei Pfostenleisten dran und man 
kann's aufs Brotbrett stecken.

Wichtig bei einem solchen Board finde ich, daß die Dinge, die man nicht 
so ohne weiteres "einfach dazustecken" kann (wie z.B. das RAM)schon 
drauf sind. Auf den Beschleunigungssensor und die LEDs hätte ich 
persönlich leicht verzichten können.

Auch daß man da einen NIOS drauf kriegt, heißt ja nicht unbedingt, daß 
man das muß.

von Bitwurschtler (Gast)


Lesenswert?

Grad  bei Trenz gescheckt - Liefertermin ist voraussichtlich 03.08.2017, 
doku liegt auch noch keine bereit -> typische Vaporware um die 
Mitbewerber auszubremsen .

von Insider (Gast)


Lesenswert?

Hallo zusammen,
habe gerade erfahren, dass das MAX1000 auf den Arrow Technologies Days 
am 19./20.06. in Frankfurt verschenkt wird, plus weitere FPGA Boards und 
auch STM32 Boards.
http://www.technologies-days.de/

Heißt also, es wird zu dem Zeitpunkt verfügbar sein. Ich habe ein 
Anfrage gemacht und mir wurde gesagt, dass der endgültige Preis fest 
steht mit 22€.
Somit ein absolut cooles Board, welches mit Adpaterplatine von Arduino 
MKR und PMOD, um diverse Funktionalitäten für jedliche Anwedungen 
erweitert werden kann. :-)

Viele Grüße an alle!

von C. A. Rotwang (Gast)


Lesenswert?

Insider schrieb:

> habe gerade erfahren, dass das MAX1000 auf den Arrow Technologies Days
> am 19./20.06. in Frankfurt verschenkt wird, plus weitere FPGA Boards und
> auch STM32 Boards.
> http://www.technologies-days.de/

- Von wem hast du das erfahren?
- Unter dem Link wird ein anderes Board genannt.
- Bei einer Teilnahmegebühr von mind. 199 € würde ich nicht von 
verschenken sprechen sondern von im Seminarpreis inkludiertes 
Unterrichtsmaterial.

> Heißt also, es wird zu dem Zeitpunkt verfügbar sein.
Ja für Seminarteilnehmer vielleicht, aber nicht zwingend für den Rest. 
Bei Trenz steht immer noch 03.Aug. als Termin.


> Ich habe ein
> Anfrage gemacht und mir wurde gesagt, dass der endgültige Preis fest
> steht mit 22€.

Bei wem hast Du "Anfrage gemacht? Ist der Preis inklusive 
Mehrwertsteuer?
Ist der Preis inklusive Versand?

Hast Du irgendeinen link aus Schaltplan, pinning definition o.ä?
Bei Trenz gähnt im Doiwnloadbereich immer noch Leere. Aber vielleicht 
besteht die intel'sche Auffassung von Maker-board genau darin das man 
diese Docs für sich behält und so die Lust auf Reverse Engineering 
kitzelt. <hier Smiley nach Belieben einsetzen>

von C. A. Rotwang (Gast)


Lesenswert?

C. A. Rotwang schrieb:
> Insider schrieb:
>
>> habe gerade erfahren, dass das MAX1000 auf den Arrow Technologies Days
>> am 19./20.06. in Frankfurt verschenkt wird, plus weitere FPGA Boards und
>> auch STM32 Boards.
>> http://www.technologies-days.de/
>
>> Heißt also, es wird zu dem Zeitpunkt verfügbar sein.
> Ja für Seminarteilnehmer vielleicht, aber nicht zwingend für den Rest.
> Bei Trenz steht immer noch 03.Aug. als Termin.

So das Posting ist jetzt über 4 Wochen her, außer das Trenz den 
möglichen Liefertermin weiter nach hinten (jetzt: Ende August/Anfang 
september) verschob ist  nichts weiter passiert, oder?
Irgendeiner  neue Infos (Schaltplan?) oder so ein Board irgendwie 
bekommen?.

von Gustl B. (-gb-)


Lesenswert?

Es gab eine Mail in der das TEI0001-02-08-C8P angeboten wird, das ist 
wohl auf Lager. Wer also das TEI0001-02-08-C8 bestellt hat kann sich 
jetzt zum gleichen Preis das TEI0001-02-08-C8P liefern lassen.
Leider war in der Mail keine einfache Vergleichstabelle der beiden 
Boards sondern die Schaltpläne. Ich weiß also nicht wirklich was der 
Unterschied ist, werde aber wohl bald das TEI0001-02-08-C8P bekommen.

von Lars R. (lrs)


Lesenswert?

Gustl B. schrieb:
> Wie ist denn der FT2232H
> angebunden? UART oder auch paralleles FIFO Interface für schnelles USB?

Gustl B. schrieb:
> Es gab eine Mail [...] sondern die Schaltpläne.

Hast Du Zeit und Lust einzusehen, wie nun die Beschaltung vom FTDI ist?

Auch für 22EUR ist das ein tolles Board.

von Gustl B. (-gb-)


Lesenswert?

Sieht nach JTAG und UART aus. Finde ich zwar etwas schade, aber ist für 
den Preis wirklich gut! So FT2232 Platinen alleine kosten oft schon so 
viel.

von Lars R. (lrs)


Lesenswert?

Gustl B. schrieb:
> Sieht nach JTAG und UART aus.

Danke. In der Tat sehr schade/ungeschickt.

> So FT2232 Platinen alleine kosten oft schon so
> viel.

Darum geht es nicht (nur). Ich möchte ja eben gerade nicht den FT2232 in 
jedes FPGA-Design erneut einbinden (Timing, Ansteuerung, SDRAM-Puffer).

von Gustl B. (-gb-)


Lesenswert?

Hä? Wo ist denn der Unterschied aus Sicht des FPGA Projekts? Einmal ist 
der Stein direkt auf dem Board und einmal auf einem zweiten Board mit 
Leitungen dazwischen. Beide Male muss man die Pinzuordnung in eine Datei 
schreiben, aber den Rest kann man gleich lassen, so mach ich das 
jedenfalls.

von Lars R. (lrs)


Lesenswert?

Verschiedene FPGA-Boards und verschiedene FPGA-Hersteller. Dazu die 
Handhabung des Puffers innerhalb des Projektes.

von Gustl B. (-gb-)


Lesenswert?

Lars R. schrieb:
> Verschiedene FPGA-Boards und verschiedene FPGA-Hersteller.

Ja, gibt es, aber was hat das mit dem FT2232H zu tun?

Wenn ich sowieso von einem anderen Hersteller komme muss ich das sowieso 
für Altera/Intel teilweise neu machen.

Wenn ich von Altera/Intel komme, aber bisher noch keinen MAX10 verwendet 
habe muss ich das neu machen.

Ich kann das doch sowieso nur dann übernehmen ohne Änderung wenn ich das 
schon genau auf dieser Hardware gemacht hätte.

Ich hänge einfach extern ein UM232H dran und gut ist. Constraints 
anpassen, PLL von Xilinx nach Altera/Intel wechseln, Dual-Clock-FIFO von 
Xilinx nach Altera/Intel wechseln und schon sollte es laufen.

von Lars R. (lrs)


Lesenswert?

Habe nicht die Zeit/Lust, das für jeden FPGA (ggf. IDE-Version) und 
jedes FPGA-Board in meinen Fingern zu tun. Jedes mal die selbe Arbeit 
und wozu?
Hinzu kommt, wie erwähnt, dass ich je nach Projekt Speicher-IC auf dem 
Projekt-Board haben muss und dafür vorsehen/verwenden muss wegen USB.

Hätte das MAX1000 die parallele Anbindung, so würde man ein FPGA-Projekt 
für das MAX1000 machen. Dann könnte man mit beliebig einfachen 
Interfaces auf das MAX1000 gehen. Ggf. würde man dieses eine Interface 
projektabhängig ein wenig anpassen.

von Gustl B. (-gb-)


Lesenswert?

Ähm ...

Lars R. schrieb:
> Hätte das MAX1000 die parallele Anbindung, so würde man ein FPGA-Projekt
> für das MAX1000 machen.

Ja und? Genau das musst Du doch auch machen wenn der FT2232H extern dran 
hängt? Und dann kannst Du beim nächsten Projekt an das MAX1000 einfach 
wieder genauso extern den FT2232H ranhängen. Einmal muss man sich die 
Arbeit aber auf jeden Fall machen, da gibt es keinen Unterschied ob der 
Stein auf der Platine ist oder auf einer zweiten Platine.
Externes RAM habe ich bisher nicht gebraucht für schnelle USB2 
Datenübertragung mit dem FT232H, 64kBytes BlockRAM waren immer genug.

von Lars R. (lrs)


Lesenswert?

Gustl B. schrieb:
> Ähm ...
>
> Lars R. schrieb:
>> Hätte das MAX1000 die parallele Anbindung, so würde man ein FPGA-Projekt
>> für das MAX1000 machen.
>
> Ja und? Genau das musst Du doch auch machen wenn der FT2232H extern dran
> hängt?

Nein. Mit einem Interface meiner Wahl von einem FPGA auf einen Anderen 
zu gehen ist weniger aufwändig, als jedes mal den Interface-IC samt 
Puffer-Speicher einzubinden.

Weitere Argumente als die bereits dargestellten habe ich nicht.

von C. A. Rotwang (Gast)


Lesenswert?

Gustl B. schrieb:
> Es gab eine Mail in der das TEI0001-02-08-C8P angeboten wird, das ist
> wohl auf Lager. Wer also das TEI0001-02-08-C8 bestellt hat kann sich
> jetzt zum gleichen Preis das TEI0001-02-08-C8P liefern lassen.
> Leider war in der Mail keine einfache Vergleichstabelle der beiden
> Boards sondern die Schaltpläne.

Hast Du einen Link zu den Schaltplänen oder kannst diese hier ins Forum 
posten? Ich überlege eventuell ein Erweiterungsboard zu machen um dieses 
IoT-knubbel sinnvoll nutzen zu können.

von Andi (Gast)


Lesenswert?

Schaltplan und weitere PDFs findet man bei Arrow:
https://www.arrow.com/de-de/products/max1000/arrow-development-tools

Das ist ja eigentlich ein Arrow Produkt, nur halt von Trenz entwickelt 
und produziert. Ich denke das Arrow die Bauteile zu besonderen 
Konditionen liefert, nur so ist diser Preis möglich.
Eines der Bauteile ist wohl nicht lieferbar, nur so kann ich mir die 
ewigen Verschiebungen des Datums erklären (zwischendurch war's mal 
Anfang Juli).
Arrow versprach mal dass es Mitte Juni lieferbar ist, aber da steht 
immer noch: "no stock".

Andi

von Andi (Gast)


Lesenswert?

Vom 2. Port des FT2232 sind die ersten 6 Signale auf FPGA Pins geführt. 
Was über diese 6 Leitungen läuft ist aus dem Schaltplan nicht 
ersichtlich, das hängt davon ab, wie der FT2232 vom EEPROM konfiguriert 
wird. Signalnamen die die Funktion beschreiben wären da wohl sinnvoller 
gewesen.

Ich denke der FT2232 unterstützt auch SPI, damit würde dann auch die 
Baudratenanpassung in jedem Projekt entfallen.

Andi

von C. A. Rotwang (Gast)


Lesenswert?

Andi schrieb:
> Schaltplan und weitere PDFs findet man bei Arrow:
> https://www.arrow.com/de-de/products/max1000/arrow-development-tools

Danke!
Das habe ich lange bei Trenz gesucht und vermisst.

> Das ist ja eigentlich ein Arrow Produkt, nur halt von Trenz entwickelt
> und produziert.

Ja der Schaltplan hat das Trenz-Logo, aber taucht jetzt auf der 
arrow-seite auf. IMHO - nicht besonders Makerfreundlich.

> Arrow versprach mal dass es Mitte Juni lieferbar ist, aber da steht
> immer noch: "no stock".

Mit den Doks/RefDesigns kann man sich wenigstens auf den Tag vorbereiten 
wenn das Ding liefer/bestellbar ist, beispielsweise Eval-designs und 
boards vorbereiten.

von Gustl B. (-gb-)


Lesenswert?

Platine ist da!

von Gustl B. (-gb-)


Lesenswert?

Oh weia. Also man braucht zusätzlich zum unfassbar fetten Quartus auch 
noch einen USB-Blaster Treiber. Der ist nicht signiert und mna muss ihn 
also von Hand installieren, das Gerät wird also selbst bei installierter 
Treibersoftware (.exe) nicht automatisch installiert, sondern man muss 
wohl in den Gerätemanager gehen und da dann wirklich zum Ordner gehen in 
dem die Treiberdateien liegen.

Naja und dann ist keine Pinzuordnungsdatei .csv dabei, das muss man also 
aus dem Datenblatt selber zusammenbauen ...

Und dieser Pineditor im Quartus ist ja mal grottig. Der hängt irgendwie 
mit dem Toplevel VHDL zusammen, sprich wenn man im Toplevel ein Signal 
z. B. "blubba" nennt, dann kann man im Pineditor nicht einfach einen 
anderen Namen vergeben. Ich mache das also in der .csv Datei von Hand.

Und im Datenblatt 
https://www.trenz-electronic.de/fileadmin/docs/Trenz_Electronic/TEI0001/REV01/Documents/SCH-TEI0001-01-08-C8.PDF 
überlagern diese gelben Umrandungen teilweise den Text. Man man man ... 
wir sind im 21. Jahrhundert!

Aber immerhin, ein erster Test funktioniert. Die roten LEDs sind 
unfassbar hell. 100 Ohm Vorwiderstand ist halt doch etwas sehr wenig.

Oh, man kann die Pins auch händisch im .qsf editieren. Fein.

: Bearbeitet durch User
von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Jetzt mit Datei im Anhang, kann aber nichts garantieren.
Ich habe ja das TEI0001-01 und da ist leider der Flash nicht bestückt, 
warum auch immer. Jedenfalls, wenn ich den S25FL Stein selber drauf 
baue, kann ich den dann auch verwenden oder war da ein anderer Fehler im 
Design? Revision 2 hat einen anderen Flash Stein drauf und der ist auch 
bestückt (U5).

Ach werde ich einfach mal ausprobieren, den Stein gibt es z. B. hier:
http://de.farnell.com/spansion/s25fl164k0xmfi011/ic-speicher-flash-64mbit-soic/dp/2363323

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

OK, also selber Flash bestücken sollte funktionieren, braucht man aber 
nicht. Der MAX hat selber schon Flash eingebaut, ist zwar etwas fieselig 
aus dem .sof erstmal ein .pof zu machen im Quartus, aber das geht schon 
und funktioniert dann auch wunderprächtigst. Also insgesamt schickes 
Board.

von Gustl B. (-gb-)


Lesenswert?

Der Beschleunigungssensor lässt zwar mit sich reden, also z. B. das 
Register WHO_AM_I (15) auslesen, aber die interessanten Register geben 
beim Lesen nur 0 zurück. Gibt es da einen Trick mit dem Stein?
www.st.com/resource/en/datasheet/CD00274221.pdf

von C. A. Rotwang (Gast)


Lesenswert?

Gustl B. schrieb:
> Jetzt mit Datei im Anhang, kann aber nichts garantieren.

Sieht doch ganz gut aus! Von Bank 8 (Schaltplan p.4) fehlen eventuell 2 
Pins. E8 ist als offen gezeichnet, bei D6 fehlt im Schematic der 
Netzname und ist eventuell auch offen.

von Gustl B. (-gb-)


Lesenswert?

USER_BTN hatte ich vergessen, aber gut, ist nicht schwer den 
nachzufragen. Und die F Signale hab ich weggelassen die zum externen 
Flash gehen weil der hier (noch) nicht bestückt ist.
Seltsam ist auch dieses D11_R und D12_R was soll das?

von C. A. Rotwang (Gast)


Lesenswert?

Gustl B. schrieb:

> Seltsam ist auch dieses D11_R und D12_R was soll das?

Vermutung: Die gehören zu I2C. An diesen Pinheader wird wohl ein MKR1000 
Arduino angeschlossen und nach dessen Pinout ist dort SDA und SDC

https://www.arduino.cc/en/uploads/Main/MKR1000_pinout.png
https://store.arduino.cc/arduino-mkr1000
https://de.i2c-bus.org/intro/das-typische-i2c-bus-setup/

von Gustl B. (-gb-)


Lesenswert?

Ah OK.

Und zum Beschleunigungssensor LIS3DH:
Das ist ja ein beknacktes Produkt. Defaultmäßig steht im CTRL_REG1 
(Adresse 20h) der Wert 00000111 drinnen. Und das bedeutet "power-down 
mode" sprich das macht nichts. Der Stein ist zwar an, lässt auch mit 
sich reden, aber schreibt keine Beschleunigungswerte in seine Register. 
Ich hab in das Register jetzt 10010111 geschrieben, das bedeutet, dass 
der mit 1.344 kHz neue Werte liefert, und siehe da, es funktioniert.

von Markus F. (mfro)


Lesenswert?

Gustl B. schrieb:
> Und das bedeutet "power-down
> mode" sprich das macht nichts.

Das Ding wird wahrscheinlich aus dem Smartphone/Notebook-Bereich 
stammen. Und da darf es halt nix brauchen, wenn's nicht gebraucht wird.

von Gustl B. (-gb-)


Lesenswert?

Gut möglich, hat mich jedenfalls erstmal deutlich verwirrt. Der Sensor 
auf dem Nexys4 liefert gleich brav werte. Naja, jetzt hab ich eine 
kleine LED Wasserwaage (Längsrichtung der Platine ist Y).

von Gustl B. (-gb-)


Lesenswert?

Habe jetzt R8 bis R16 durch 1k Ohm 0402 ausgetauscht, war etwas 
fieselig, aber geht noch gut ohne Lupe. Aber die LEDs sind trotzdem noch 
gut hell. Werde wohl nochmal andere Widerstände bestücken damit man da 
auch länger draufgucken kann. 4,7k oder so.

von Lars R. (lrs)


Lesenswert?

...modulierter Betrieb kam nicht in Frage, gerade beim FPGA?...

von Guardians of the memory space (Gast)


Lesenswert?

Lars R. schrieb:
> ...modulierter Betrieb kam nicht in Frage, gerade beim FPGA?...

Typische Softwarker - Frage:
Kann ich die Fehlbestückung nicht durch Firmware-Update fixen ?! - ich 
find das Tutorial für die method:Lötkolben grad nicht. ;-) SCNR

von Lars R. (lrs)


Lesenswert?

Hat jemand Updates? Wie wäre es mit einem Follow-up Artikel?

von Lars R. (lrs)


Lesenswert?

Update: Verfügbarkeit war für einen Tag von 2x.08.2017 auf 08.08.2017 
gesetzt. Nun steht sie auf 31.08.2017.

von C. A. Rotwang (Gast)


Lesenswert?

Lars R. schrieb:
> Update: Verfügbarkeit war für einen Tag von 2x.08.2017 auf 08.08.2017
> gesetzt. Nun steht sie auf 31.08.2017.

da steht sie immer noch, Stock bei zero :-(

> Hat jemand Updates? Wie wäre es mit einem Follow-up Artikel?

Wenn er für die Allgemeinheit lieferbar ist macht ein Follow-Up Sinn, 
vorher nicht.

von Lars R. (lrs)


Lesenswert?

Die Bilder haben sich verändert. Die nun gezeigten PCBs sind anders 
bestückt.
Fast wollte ich schon vergleichbare Alternativen hier posten, denn diese 
Politik heiße auch ich nicht gut.
Ich wünschte, sie würden den FTDI richtig anbinden und dafür die 
LED-Reihe weg lassen. Die benötigt auf einem solchen Board kein Mensch. 
Für absolute Neueinsteiger mit Klicki-Blinky-Bedarf gibt es viel 
schönere Boards und die benötigen auch keinen SDRAM. Vielleicht sind die 
LEDs eine Vorgabe von Arrow, die großen Distributoren denken ja 
prinzipiell etwas anders...?

: Bearbeitet durch User
von Martin S. (strubi)


Lesenswert?

Lars R. schrieb:
> Fast wollte ich schon vergleichbare Alternativen hier posten, denn diese
> Politik heiße auch ich nicht gut.

Nur zu. Was weniger volatiles wär mal was, darf auch ruhig das dreifache 
kosten. Ich fand die fleasystems.com-Dinger ja vielversprechend, aber 
der Macher scheint die nicht in Stückzahlen produzieren zu wollen.

von Gustl B. (-gb-)


Lesenswert?

Komisch, ich hab schon lange so ein Board ... habt ihr nicht bestellt 
oder was?

von Lars R. (lrs)


Lesenswert?

"Sofort versandfertig. 50 Stück Stock".

von Worstie F. (worstcase_ffm)


Lesenswert?

Wollte auch gerade schreiben, dass wieder Boards vorrätig sind.

von Lars R. (lrs)


Lesenswert?

Und was macht und plant ihr damit?

von C. A. Rotwang (Gast)


Lesenswert?

Hm da der Lagerbestand immer mehr steigt ist wohl bestellen nicht mehr 
so dringend.

Ich hab mal geschaut was man sich noch so brauchbares gibt um über die 
Bestellgrenze v. 50€ ab der es versandkostenfrei wird. Gleich drei 
boards zu bestellen wäre mir jetzt zu blöd, hatt da jemand einen Typ 
nach was anderen "kleineren" und brauchbaren im Shop?!

von Frank (Gast)


Lesenswert?

Hallo,
habe jetzt das Board erhalten und wollte mit den Demos einsteigen.
Hat jemand die Projekte schon gefunden?

"Um einen einfachen Einstieg in die Verwendung der FPGAs zu bieten, 
werden mit dem MAX1000 eine Reihe von Demoprojekten für den NIOS II 
Soft-IP-Controller mitgeliefert."

von No Y. (noy)


Lesenswert?

Hi,
ich hab auch zugeschlagen...
Aber bekomme es nicht ans laufen unter linux...

Habe schon versucht den "älteren" BeMicro Arrow USB Blaster Treiber ans 
laufen zu bekommen aber scheint nicht zu gehen.
Ich nutze Manjaro mit Quartus 16.1.

Die BeMicro UDEV Rule passt nicht ganz, man muss noch die USB IDs 
anpassen (zumindest bei mir).

Aber trotzdem scheint Quartus es nicht zu mögen, er erkennt es einfach 
nicht.

lsusb:
Bus 001 Device 010: ID 0403:6010 Future Technology Devices 
International, Ltd FT2232C/D/H Dual UART/FIFO IC


UDEV Rule:
51-usbblaster.rules :

# USB-Blaster
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6002", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6003", 
MODE="0666"

# USB-Blaster II

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6010", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6810", 
MODE="0666"

#Arrow USB-Blaster
BUS=="usb", SYSFS{idVendor}=="0403", SYSFS{idProduct}=="6010", 
MODE="0666"

Quartus:
sudo ./jtagconfig
No JTAG hardware available

Hat noch jemand eine Idee?

von No Y. (noy)


Lesenswert?

lsusb -v sagt:
Bus 001 Device 015: ID 0403:6010 Future Technology Devices 
International, Ltd FT2232C/D/H Dual UART/FIFO IC
Device Descriptor:
  bLength                18
  bDescriptorType         1
  bcdUSB               2.00
  bDeviceClass            0
  bDeviceSubClass         0
  bDeviceProtocol         0
  bMaxPacketSize0        64
  idVendor           0x0403 Future Technology Devices International, Ltd
  idProduct          0x6010 FT2232C/D/H Dual UART/FIFO IC
  bcdDevice            7.00
  iManufacturer           1 Arrow
  iProduct                2 Arrow USB Blaster
  iSerial                 3 AR1QDYB7
  bNumConfigurations      1
  Configuration Descriptor:
    bLength                 9
    bDescriptorType         2
    wTotalLength           55
    bNumInterfaces          2
    bConfigurationValue     1
    iConfiguration          0
    bmAttributes         0x80
      (Bus Powered)
    MaxPower              500mA
    Interface Descriptor:
      bLength                 9
      bDescriptorType         4
      bInterfaceNumber        0
      bAlternateSetting       0
      bNumEndpoints           2
      bInterfaceClass       255 Vendor Specific Class
      bInterfaceSubClass    255 Vendor Specific Subclass
      bInterfaceProtocol    255 Vendor Specific Protocol
      iInterface              2 Arrow USB Blaster
      Endpoint Descriptor:
        bLength                 7
        bDescriptorType         5
        bEndpointAddress     0x81  EP 1 IN
        bmAttributes            2
          Transfer Type            Bulk
          Synch Type               None
          Usage Type               Data
        wMaxPacketSize     0x0200  1x 512 bytes
        bInterval               0
      Endpoint Descriptor:
        bLength                 7
        bDescriptorType         5
        bEndpointAddress     0x02  EP 2 OUT
        bmAttributes            2
          Transfer Type            Bulk
          Synch Type               None
          Usage Type               Data
        wMaxPacketSize     0x0200  1x 512 bytes
        bInterval               0
    Interface Descriptor:
      bLength                 9
      bDescriptorType         4
      bInterfaceNumber        1
      bAlternateSetting       0
      bNumEndpoints           2
      bInterfaceClass       255 Vendor Specific Class
      bInterfaceSubClass    255 Vendor Specific Subclass
      bInterfaceProtocol    255 Vendor Specific Protocol
      iInterface              2 Arrow USB Blaster
      Endpoint Descriptor:
        bLength                 7
        bDescriptorType         5
        bEndpointAddress     0x83  EP 3 IN
        bmAttributes            2
          Transfer Type            Bulk
          Synch Type               None
          Usage Type               Data
        wMaxPacketSize     0x0200  1x 512 bytes
        bInterval               0
      Endpoint Descriptor:
        bLength                 7
        bDescriptorType         5
        bEndpointAddress     0x04  EP 4 OUT
        bmAttributes            2
          Transfer Type            Bulk
          Synch Type               None
          Usage Type               Data
        wMaxPacketSize     0x0200  1x 512 bytes
        bInterval               0
Device Qualifier (for other device speed):
  bLength                10
  bDescriptorType         6
  bcdUSB               2.00
  bDeviceClass            0
  bDeviceSubClass         0
  bDeviceProtocol         0
  bMaxPacketSize0        64
  bNumConfigurations      1
can't get debug descriptor: Resource temporarily unavailable
Device Status:     0x0000
  (Bus Powered)

von Lars R. (lrs)


Lesenswert?

Den besten Support im Netz hat Ubuntu und Derivate. Diesbezüglich gibt 
es auch diverse Hinweise, wenn etwas mit einem Blaster nicht 
funktioniert. Hast Du derartige Hinweise bereits auf das von Dir 
genutzte Linux adaptiert und abgearbeitet? Alternativ nutze nicht einen 
Exoten, zu dem niemand etwas sagen kann.
Ich habe bereits Altera unter Linux in Betrieb genommen (prinzipiell 
funktioniert es also), aber diese Konfiguration noch nicht.

Zu guter Letzt: Was sagen Trenz und dann Arrow dazu? Von denen ist 
schließlich das Board.

von No Y. (noy)


Lesenswert?

Manjaro ist Archlinux..

Quartus läuft und auch meinoriginal USB Blaster von Altera/Terasic sowie 
mein DE0-Nano-SOC und DE1 laufen...
Nur der beschissene Arrow Blaster nicht.
Ich denke ich änder mal die Product ID im FTDI. Im Arrow Blaster Treiber 
für Linux64 ist er in den UDEV Rules mit einem anderen PID angegeben, 
eventuell geht daher nicht die libjtag_hw_arrow.so

von No Y. (noy)


Lesenswert?

Habs anscheinend kaputt gemacht...

Wäre jemand so lieb und würde mir mit MPROG (unter Windows) seinen 
FT2232H auslesen und mir die .ept Konfiguration schicken damit ich es 
wieder herstellen kann.
Ich hab zwar einen Dump von meinem EEPROM aber bekomme es aber nicht mit 
dem ftdi_eeprom tool auf den Chip drauf.

Irgendwas setzt das Tool immer anders. Eigentlich will ich einfach nur 
meinen Dump wieder einspielen...

: Bearbeitet durch User
von Pat A. (patamat)


Lesenswert?

No Y. schrieb:
> Habs anscheinend kaputt gemacht...

MPROG kopiert nur 1kB des EEPROMS. Wenn Du Pech hast, dann steht in dem 
2. kB noch eine Kennung, die den FTDI-Chip als Arrows-Blaster ausweist. 
So ist das z.B. bei den FTDI-Programmern von Digilent für Xilinx.

: Bearbeitet durch User
von No Y. (noy)


Lesenswert?

HM,
naja das kann ich prüfen. Ich setze erst alles mit mprog und mache dann 
nochmal einen Dump und vergleiche.
Im Notfall fädel ich mich ans Eeprom und schreibe direkt rein..
Ich lade heut Abend mal den Dump hoch..

: Bearbeitet durch User
von Martin S. (strubi)


Lesenswert?

Gibt's da keinen einfachen Ansatz wie beim Papilio per xc3sprog? Das 
kann doch wohl nich sein, dass man noch am FTDI-EEPROM rumnudeln muss...

von No Y. (noy)


Lesenswert?

Naja, unter Windoof muss man bestimmt nicht rumnudeln...
Es gibt den Arrow USB Blaster Treiber 2.0 für Windows. Für Linux nur die 
Version 1.9 und die passt anscheinend nicht zu dem "neuen" Arrwo USB 
Blaster auf dem Board die PID ist in den UDEV Rules des 1.9 Treibers 
anders. Ich denke daher funktioniert auch die libjtag_hw_arrow.so nicht 
mit dem neuen Board. Und ich wollte dann ja einfach die PID ändern und 
schauen ob dann der alte Treiber wieder geht, denn so viel "Magie" gibt 
es ja bei einem FTDI nicht...

Nun hab ich mir halt leider das EEPROM (zumindest den Teil wo 
MPROG/FTProg oder ftdi_eeprom drauf schreiben / löschen kann).

von Martin S. (strubi)


Lesenswert?

No Y. schrieb:
> Naja, unter Windoof muss man bestimmt nicht rumnudeln...

Spätestens ab neueren Windows10 updates geht's aber mit der Nudelei 
los...

Ich würde nur bei den ganzen Buzzwords a la IoT und Maker wenigstens 
etwas Plug&play unter Linux erwarten wie beim Papilio. Darf dann auch 
bisschen mehr kosten..

Was die udev rules angeht: Da gibt es unterschiedliche Syntax (aaargh). 
Schon mal in der Form
1
SUBSYSTEM=="usb", ACTION=="add", ATTR{idProduct}=="6010", ATTR{idVendor}=="0403", MODE="664", GROUP="plugdev"

probiert? ('plugdev' ist auch auf div. Systemen unterschiedlich..)

: Bearbeitet durch User
von No Y. (noy)


Lesenswert?

Nein nicht in der Form.
Da aber mein original USB Blaster sofort lief bin ich davon ausgegangen, 
dass die Syntax i.O. war.

# USB-Blaster
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6001", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6002", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6003", 
MODE="0666"

# USB-Blaster II

BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6010", 
MODE="0666"
BUS=="usb", SYSFS{idVendor}=="09fb", SYSFS{idProduct}=="6810", 
MODE="0666"

#Arrow USB-Blaster
BUS=="usb", SYSFS{idVendor}=="0403", SYSFS{idProduct}=="a4a0", 
MODE="0666"

Wobei dann für den neuen habe ich folgendes eingetragen:
BUS=="usb", SYSFS{idVendor}=="0403", SYSFS{idProduct}=="6010", 
MODE="0666"

Wobei mich sowieso wundert, dass die PID/VID die "ganz normale" ist für 
den FT2232H der eingetragen ist.
Also die sind nicht angepasst worden. Daher dachte ich mir, dass 
deswegen immer der normale FTDI Serial Treiber genutzt wird.
Laut FTDI ist für den FT2232H initial 0403 6010 vorgesehen.

von No Y. (noy)


Lesenswert?

Leider hat mir der Support von Trenz noch nicht geantwortet. Daher 
nochmal der "Trigger" wäre jemand, der das Board hat, so lieb und ließt 
mal die Konfiguration des FTDI mit MProg oder FTProg aus und würde mir 
die Konfigurationsdatei (.ept bei MProg) zuschicken oder hier hochladen?
Wäre super wenn sich jemand erbarmen könnte.
Da ich das eeprom auch nur mit FTProg gelöscht habe, denke ich, es ist 
auch nur der "erste teil" des eeprom betroffen.

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Ja, kann ich machen, aber erst am Wochenende, habe die Hardware nicht 
hier™.

: Bearbeitet durch User
von No Y. (noy)


Lesenswert?

Vielen Dank!
:-)

Vielleicht gibt es ja auch bis dahin Rückmeldung bzgl. Linux Treiber von 
Trenz.

von Martin O. (ossi-2)


Lesenswert?

Läuft das ganze bei irgendjemandem schon? Hat jemand ein einfaches 
(Blinkled) Projekt das er mir als Startpunkt geben könnte, am besten 
VHDL oder Verilog.

von No Y. (noy)


Lesenswert?

Habe Rückmeldung von Trenz:

Also für das MAX1000 muss der Treiber in Version 2.0 (bisher nur 
Windows) genommen werden!
Ein Linux "Treiber" wird wohl kommen (in den nächsten Monaten).
Treiber und FTDI Konfiguration kommen von Arrow nicht von Trenz.
Das MAX1000 / Arrow USB Blaster verwendet die "normalen" FTDI Treiber, 
daher auch keine gesonderte PID. Die "Treiber" scheinen eher eine Art 
FTDI Treiber Konfigurations-/Setupdatei zu sein.

Mein EEPROM Problem ist noch in Klärung..

von Gustl B. (-gb-)


Lesenswert?

@Martin O.:

Klar läuft schon lange bei mir, wo die Pinzuordnung steht hatte ich hier 
auch verlinkt. Den Zähler für die BlinkeLED solltest Du aber doch selber 
schreiben, das ist lehrreicher als fertige Projekte zu verwenden.

Mit dem Beschleunigungssensor und dem LED Streifen habe ich eine 
Wasserwaage gebastelt. Ist nicht sonderlich umfangreich aber mir fiel 
auch nicht mehr ein ...

von Martin O. (ossi-2)


Lesenswert?

@Gustl:
Könntest Du mir evtl Deinen Constraint-File .qsf zukommen lassen?
Den VHDL/Verilog Teil des Led Blinkens mach ich gerne selbst.

von affenmaus (Gast)


Lesenswert?

set_global_assignment -name FAMILY "MAX 10"
set_global_assignment -name DEVICE 10M08SAU169C8G
set_global_assignment -name TOP_LEVEL_ENTITY ersterVersuch
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.0
set_global_assignment -name PROJECT_CREATION_TIME_DATE "08:52:40 
SEPTEMBER 06, 2017"
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.0 Lite Edition"
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
set_global_assignment -name VHDL_FILE ersterVersuch.vhd
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id 
Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL 
PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_location_assignment PIN_A8 -to led_out
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to led_out
set_location_assignment PIN_L12 -to eingang
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to eingang
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | 
-section_id Top

von affenmaus (Gast)


Lesenswert?

# 
------------------------------------------------------------------------ 
--  #
#
# Copyright (C) 2017  Intel Corporation. All rights reserved.
# Your use of Intel Corporation's design tools, logic functions
# and other software and tools, and its AMPP partner logic
# functions, and any output files from any of the foregoing
# (including device programming or simulation files), and any
# associated documentation or information are expressly subject
# to the terms and conditions of the Intel Program License
# Subscription Agreement, the Intel Quartus Prime License Agreement,
# the Intel MegaCore Function License Agreement, or other
# applicable license agreement, including, without limitation,
# that your use is for the sole purpose of programming logic
# devices manufactured by Intel and sold by Intel or its
# authorized distributors.  Please refer to the applicable
# agreement for further details.
#
# 
------------------------------------------------------------------------ 
--  #
#
# Quartus Prime
# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition
# Date created = 08:52:40  September 06, 2017
#
# 
------------------------------------------------------------------------ 
--  #
#
# Notes:
#
# 1) The default values for assignments are stored in the file:
#    ersterVersuch_assignment_defaults.qdf
#    If this file doesn't exist, see file:
#    assignment_defaults.qdf
#
# 2) Altera recommends that you do not modify this file. This
#    file is updated automatically by the Quartus Prime software
#    and any changes you make may be lost or overwritten.
#
# 
------------------------------------------------------------------------ 
--  #




Die Kommentare davor.

von Gustl B. (-gb-)


Lesenswert?

Die Pinzuordnung max1000_01.csv ist weiter oben hier im Thread.

von Chris K. (chris_k)


Angehängte Dateien:

Lesenswert?

Ich habe hier mal die Pinzuordnung für Revision 2 des Boards für euch. 
Sie basiert auf dem Schaltplan:
https://www.trenz-electronic.de/fileadmin/docs/Trenz_Electronic/TEI0001/REV02/Documents/SCH-TEI0001-02-08-C8.PDF

Dazu noch die passende sdc-Datei für das Timing (sollte den selben Namen 
haben wie das toplevel-hdl).

: Bearbeitet durch User
von Markus (Gast)


Lesenswert?

Autor: Marco Genise (kaffeedoktor)
>Die weitere Ausstattung des Boards umfasst einen
>3-Achsen-MEMS-Beschleunigungssensor, 8 LEDs und zwei Taster.
>Weiter stehen ein zweireihiger Steckverbinder,
>basierend auf dem Arduino MKR-Standard,
> und ein PMOD-Interface zur Verfügung.

Was soll der Arduino MKR-Standard sein? Mir ist kein solcher Standard 
bekannt, oder hat Arrow den grad erfunden?

Bist Du Vertriebsmitarbeiter bei Arrow?

von C. A. Rotwang (Gast)


Lesenswert?

Markus schrieb:
> Autor: Marco Genise (kaffeedoktor)

>>Weiter stehen ein zweireihiger Steckverbinder,
>>basierend auf dem Arduino MKR-Standard,

> Was soll der Arduino MKR-Standard sein? Mir ist kein solcher Standard
> bekannt, oder hat Arrow den grad erfunden?

Wahrscheinlich ist "passend zum Arduino MKR 1000 Board" gemeint:
https://www.heise.de/make/meldung/Neuer-Mini-Arduino-MKR1000-fuer-das-Internet-der-Dinge-ist-da-3161176.html 
,
dessen Pinleisten sind anders als für die "klassischen Arduinos-Shields 
angeordnet:
https://itp.nyu.edu/physcomp/wp-content/uploads/MKR1000_pinouts-1024x788.png

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

So, habe das mal mit FT_Prog ausgelesen.

von No Y. (noy)


Lesenswert?

Sehr interessant...
In deinem Template ist die PID 0xA4A0 wie im 1.9 Treiber.
Hab jetzt dein Template geflasht und siehe da....
Er wird von Quartus als USB Blaster erkannt (UNTER LINUX!!!)...

[noy@Noy-Home bin]$ sudo ./jtagconfig
1) Arrow-USB-Blaster [USB0]
  031820DD   10M08SA(.|ES)/10M08SC



Also entweder meiner war schon im Auslieferungszustand falsch 
konfiguriert oder die haben irgendwann gewechselt...

Hast du einen der ersten MAX1000?

Auf jedenfall VIELEN VIELEN DANK! Jetzt kann ich endlich auch mit meinem 
"Mäxchen" spielen :-D

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Also ich habe einen TEI0001-01 den habe ich schon einige Zeit, wird also 
einer der Ersten gewesen sein.

von User (Gast)


Lesenswert?

Im Trenz Forum sind diverse Demos für das MAX1000 vorhanden, welche man 
einfach als Basis  verwenden kann.
Auch ein Startguide für Anfänger ust dort verfügbar.

https://forum.trenz-electronic.de/index.php/board,36.0.html

VG

von Martin O. (ossi-2)


Lesenswert?

Bei den Beispielen ist eine "Wasserwaagen Demo" mit NIOS. Kann mir
jemand sagen wo dabei das Programm ist, das auf dem NIOS läuft?

von chris (Gast)


Lesenswert?

Hier gibt es den Thread mit spezifischerem Namen:
Beitrag "MAX1000 Erfahrungen"

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

Die Wasserwaage hat man auch schnell selber geschrieben - ganz ohne 
NIOS.
Siehe Anhang.

von chris (Gast)


Lesenswert?

Alle Achtung, ich hätte nicht gedacht, dass der Code so kurz sein kann !
Vom Beschleunigungssensor hätte ich erwartet, dass er erste eine Latte 
riesiger Registerinitialisierungen braucht, bevor er mal anfängt, was zu 
tun.

Öhm, schnell? Wie lange hast Du gebraucht?

von Gustl B. (-gb-)


Lesenswert?

Einen Nachmittag oder so, SPI hab ich schon öfter gemacht und es war 
auch schon der zweite Beschleunigungssensor. Der ist sogar echt 
Anfängerfreundlich weil man da eben schon gute Defaultwerte in den 
Registern stehen.

Auf den Nexys4 Board der Sensor will erstmal konfiguriert werden. Da 
hatte ich mal ein schickes Problem:
Ich habe auf das Nexys4 Board immer nur den Bitstream direkt in das FPGA 
geladen gehabt und dann wollte ich auch mal die Möglichkeit ausprobieren 
den Bitstream in das Flash zu schreiben und davon das FPGA zu booten. 
Tja und plötzlich ging der Beschleunigungssensor nichtmehr. Hat mich 
etwas Zeit gekostet, aber am Ende lag es daran, dass im Flash eine 
Demo-FPGA-Konfiguration drinnen war vom Hersteller die auch den 
Beschleunigungssensor passend initialisiert hat. Wenn ich das Board also 
eingeschaltet habe wurde der initialisiert, dann habe ich direkt das 
FPGA über JTAG konfiguriert und meine FPGA Konfiguration konnte auch 
direkt die Beschleunigungswerte lesen. Aber als ich meine Konfiguration 
ins Flash geschrieben habe war natürlich keine Initialisierung des 
Sensors mehr da. Habe ich dann auch geschrieben und war auch nicht sooo 
wild. Man schreibt eben einmal seinen SPI Block und kann dann 
einigermaßen beliebig lesen und schreiben.

Edit:
Hab mir den Code gerade nochmal durchgelesen, in der Tat, da wird auch 
erstmal geschrieben. Hatte ich total verdrängt.

: Bearbeitet durch User
von chris (Gast)


Lesenswert?

Kann es sein, dass nicht der SPI-Eingang ( SEN_SDO ), sondern der 
Ausgang "gebuffered" ist?
1
entity max1000_01_test is port(
2
  CLK12M : in STD_LOGIC;
3
  USER_BTN : in STD_LOGIC;
4
  SEN_SDI : out STD_LOGIC;
5
  SEN_SDO : in STD_LOGIC;
6
  SEN_SPC : out STD_LOGIC;
7
  SEN_CS : out STD_LOGIC;
8
  LED : out STD_LOGIC_VECTOR(8 downto 1);
9
  PIO : out STD_LOGIC_VECTOR(8 downto 5));
10
end max1000_01_test;
11
12
...
13
...
14
15
  SEN_SDI_buffer1 <= SEN_SDI_buffer0;
16
  SEN_SDI_buffer2 <= SEN_SDI_buffer1;
17
  SEN_SDI_buffer3 <= SEN_SDI_buffer2;

von Gustl B. (-gb-)


Lesenswert?

Ja? Wieso? Ich weiß auch nichtmehr wieso ich das so geschrieben hatte 
... vielleicht um eben etwas Latenz zu haben also um ein paar Takte 
gegen ein anderes Signal zu verschieben.
Habe das Board nicht hier um das mal ohne diese Latenz zu testen und 
gerade auch kein Quartus/Vivado um das zu simulieren.

Was SDI und SDO ist ist Geschmackssache, also wie man das nennt. SDI ist 
hier aus Sicht des FPGA ein Ausgang, aber für den Sensor eben ein 
Eingang.

: Bearbeitet durch User
von C. A. Rotwang (Gast)


Lesenswert?

Es gibt einen neuen Aufguß vom Board, diesmal mit dem "größeren" 
Altera/intel Cyclone10 LP (10CL025) statt dem Max10:
https://shop.trenz-electronic.de/de/TEI0003-02-CYC1000-mit-Cyclone-10-FPGA-8-MByte-SDRAM?c=479

Zum Vergleich der Link zum "alten Board":
https://shop.trenz-electronic.de/de/TEI0001-02-08-C8-MAX1000-IoT-Maker-Board-8KLE?c=455

von FPGA User (Gast)


Lesenswert?

Und das MAX1000 gibt es in einer Variante mit 16kLE, bei Trenz im Shop.

VG

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.