Forum: FPGA, VHDL & Co. bBitte einmal dieses : rxd_sr(rxd_sr'left-1) & rxsr(rxsr'left downto 1);.usw.


von peter (Gast)


Lesenswert?

Hallo, guten Tag.
Kann man mir bitte einmal die beiden letzten Beschreibungen erklären?

Danke.
Gruss

---------------------------------
signal rxd_sr   : std_logic_vector (3 downto 0) := "1111";
signal rxsr     : std_logic_vector (7 downto 0) := "00000000";

rxsr   <= rxd_sr(rxd_sr'left-1) & rxsr(rxsr'left downto 1);
rxd_sr <= rxd_sr(rxd_sr'left-1 downto 0) & RXD;
-----------------------------------

von peter (Gast)


Lesenswert?

Hier ist RXD:
RXD : in   STD_LOGIC;
wurde vergessen.

GRuss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Schlag in deinem VHDL Buch nach, was der '&' Operator macht. Der 
englische Fachbegriff ist "Concatenation", auf deutsch bedeutet das 
"Aneinanderhängen".

von peter (Gast)


Lesenswert?

Hmm..., ich meine diese Schiebebefehle, was machen die genau., kann ich 
nicht einordnen. Ich kenne nur die sll usw mit unsigned bei vhdl.

Danke.
Gruss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

peter schrieb:
> diese Schiebebefehle
Da wird erst mal nichts geschoben, sondern einfach nur ein "neuer" 
Vektor zusammengesetzt. Mit dem nächsten Takt wird dieser "neue" Vektor 
dann übernommen.
Wenn in dem "neuen" Vektor dann Bits  von sich selber um ein versetzt 
werden und ein neues Bit dazukommt ergibt das ein Schieberegister.

Aber ehrlich: mit ein ganz klein wenig Nachdenken und Eigeninitiative 
könnte man da selber draufkommen. Das ist wie der Zahlenbereich 1 bis 10 
für Mathematiker...

: Bearbeitet durch Moderator
von Harun (Gast)


Lesenswert?

Lothar Miller schrieb:
> Aber ehrlich: mit ein ganz klein wenig Nachdenken und Eigeninitiative
> könnte man da selber draufkommen. Das ist wie der Zahlenbereich 1 bis 10
> für Mathematiker...

Also mal ganz ehrlich, Moderator oder nicht. Du kannst Dir solche 
hilfreichen Kommentare auch ganz einfach sparen. Es kostet Dich Tippzeit 
und mich als Außenstehender Lesezeit. Geholfen hat das hier keinem.

von peter (Gast)


Lesenswert?

Ich habe diesen Befehl genommen, wenn ich shiften meine:

wert3_u <= shift_left(wert2_u,2);

Gruss

von peter (Gast)


Lesenswert?

Vielleicht habe ich mich falsch ausgedrückt:
------------------------------
rxsr   <= rxd_sr(rxd_sr'left-1) & rxsr(rxsr'left downto 1);
rxd_sr <= rxd_sr(rxd_sr'left-1 downto 0) & RXD;
------------------------------

Wer kann mir das mal plausibel erklären, ich blick da immer noch nicht 
durch, obwohl ich schon bis 11 zählen kann. Das "&" kenne ich.

Was wird hier gemacht:
rxd_sr(rxd_sr'left-1)

und hier:
rxsr(rxsr'left downto 1)

und hier:
rxd_sr(rxd_sr'left-1 downto 0)

Bitte mal für einen Anfänger.

Danke.
Gruss

von Michael F. (mifi)


Lesenswert?

Eine Erklärung gibt es z.B. hier:
http://de.wikibooks.org/wiki/VHDL#attributes

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Michael Fischer schrieb:
> Eine Erklärung gibt es z.B. hier:
> http://de.wikibooks.org/wiki/VHDL#attributes
Peter könnte das seit dem 
Beitrag "Re: Ich bekomme das mit der Umwandung nicht hin.kapier ich nicht." wissen. Auf den dort 
verlinkten VHDL QRC finden sich auch die Attribute. Und Peter: Du hast 
doch ein VHDL Buch. Schlag es auf!

@Harun:
Du kennst Peter nicht?

Harun schrieb:
> Geholfen hat das hier keinem.
Was?

: Bearbeitet durch Moderator
von peter (Gast)


Lesenswert?

Jup, hab ich jetzt gefunden.
Kann es aber nicht als PDF abspeichern, obwohl dort angegeben.

Danke.

Gruss

von peter (Gast)


Lesenswert?

Jup, hab ich jetzt gefunden.
Kann es aber nicht als PDF abspeichern, obwohl dort angegeben:


http://de.wikibooks.org/wiki/VHDL#attributes

Danke.

Gruss

von Allgäu-Liebhaber (Gast)


Lesenswert?

Harun schrieb:
> Lothar Miller schrieb:
>> Aber ehrlich: mit ein ganz klein wenig Nachdenken und Eigeninitiative
>> könnte man da selber draufkommen. Das ist wie der Zahlenbereich 1 bis 10
>> für Mathematiker...
>
> Also mal ganz ehrlich, Moderator oder nicht. Du kannst Dir solche
> hilfreichen Kommentare auch ganz einfach sparen. Es kostet Dich Tippzeit
> und mich als Außenstehender Lesezeit. Geholfen hat das hier keinem.

Leider hat er recht... Erst denken, dann schreiben. Sonst führt das 
mittelfristig dazu, dass dich hier alle für eine Nervensäge halten, wenn 
du selbst mit den einfachsten Sachen hier ankommst. Für die oben 
genannte Frage baut man sich eben ein kleines Minimalbeispiel und guckt 
was die Simulation sagt.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Allgäu-Liebhaber schrieb:
> Für die oben genannte Frage baut man
> sich eben ein kleines Minimalbeispiel und guckt was die Simulation sagt.
Ich hoffe, Peter hört auf dich. Blöd, dass er seinen Simulator nicht
zum Laufen bekommt...

von Schlumpf (Gast)


Lesenswert?

Harun schrieb:
> Also mal ganz ehrlich, Moderator oder nicht. Du kannst Dir solche
> hilfreichen Kommentare auch ganz einfach sparen.

ganz ehrlich:
Schau dir mal an, was Peter hier so treibt.
Er postet nicht irgendwelche konkreten Fragen zu konkreten Problemen, 
sondern man muss ihm hier immer wieder von vorne die Basics erklären.
Dass das irgendwann dazu führt, dass der eine oder andere genervt 
reagiert, ist mehr als verständlich.
Wenn Peter so weitermacht, dann dauert es nicht mehr lange und er wird 
keine Antworten mehr bekommen.

Und Lothar gehört zu den wenigen hier, der wirklich jedem sehr geduldig 
und sehr kompetent hilft und dieses Forum nicht dafür missbraucht, sich 
aufzuplustern und sich durch die Unwissenheit anderer "groß" zu fühlen.

Aber dass in so einem Fall mal der Geduldsfaden reißt, ist mehr als 
verständlich.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.