Forum: FPGA, VHDL & Co. Aktuelle Boards


von Suchender (Gast)


Lesenswert?

Hallo FPGA-Gemeinde,

hat einer eine Kaufempfehlung um einfach mal, für möglichst kleines 
Geld, in die FPGA-Welt zu schauen? Ob Altera oder Xilinx bin ich nicht 
festgelegt. Auf den ersten Blick gibt es eine Boards (wohl mit Altera) 
bei denen ein  Programmer dabei ist. Ich will jetzt keine riesige 
Signalverabeitung oder sowas betreiben. Also mehr mit dem Auto mal auf 
dem Parkplatz hin- und herfahren.

von Suchender (Gast)


Lesenswert?

evtl. Ebay 183420583717

von Thomas W. (diddl)


Lesenswert?

Möglichst kleines Geld, möglichst einfach …


Da kann ich dir von ganzem herzen das Mojo v3 empfehlen (26€):
https://de.aliexpress.com/item/32798926767.html



Der Spartan 6 ist zwar nicht mehr ganz "aktuell", aber ausreichend um 
"in die FPFA Welt zu schauen".

von Suchender (Gast)


Lesenswert?

Wie läuft das dort mit dem Programmieren? Ist das mit dem Atmega 
irgendein Standard?

von Thomas W. (diddl)


Lesenswert?

Suchender schrieb:
> Wie läuft das dort mit dem Programmieren? Ist das mit dem Atmega
> irgendein Standard?

Es ist ein Atmel USB Controller (32U4) mit auf diesem Mojo Board.

Der programmiert den CPLD temporär (im RAM) oder dauerhaft (im Flash).


Der Mojo hat eine eigene IDE, wie die Arduino IDE, wo alles blitzschnell 
und einfach geht.
- Code ändern
- Upload drücken
- testen


Man muss aber nicht diese IDE benutzen.
Es geht genauso mit den Xilinx Tools.

von Thomas W. (diddl)


Lesenswert?

Hab es hier mal zusammen gefasst.
https://oe7twj.at/index.php?title=FPGA/Alchitry/Mojo_v3



Homepage des Mojo:
https://alchitry.com/blogs/tutorials/getting-started-with-the-mojo




Es gibt übrigens eine Reihe von Shields für das Mojo:
- Mojo IO Shield
- Mojo SDRAM Shield
- Mojo HDMI Shield
- Mojo Clock Display Shield
- Mojo Lochraster Shield

von Thomas W. (diddl)


Lesenswert?

Suchender schrieb:
> Ist das mit dem Atmega
> irgendein Standard?

Ja klar, ein Standard von XILINX.

Der Atmel transportiert auch nur das BitStream File des Spartan.
Also quasi ein JTAG Interface.

Man spart sich halt einen eigenen JTAG Programmer.
Es genügt ein PC mit USB Anschluss.

von Suchender (Gast)


Lesenswert?

Nicht so einfach - die 26€ sehen erstmal gut aus. Es ist allerdings 0 
Peripherie dran. Ohne ein Stück Draht, ein Widerstand und eine LED kann 
noch nicht mal etwas blinken lassen. Wäre da nicht ein AX309(clone) mit 
SDRAM, 7-Segment Anzeigen, VGA-Anschluß und ... für 46$ und eine 
billiges (clone)Interface für 18$ unterm Strich günstiger, da man mit 
dem Interface auch später an FPGAs ohne Amtemga onboard gehen könnte?

von No Y. (noy)


Lesenswert?

MAX1000 oder wenn chinesisch OK und ganz billig...

Das LicheeTang Board bei Ali 10$ ca. Und ein RISC-V kannst auch noch 
testen ?

von Donni D. (Gast)


Lesenswert?

Ich kann das MAX1000 oder CYC1000 empfehlen. Da sind aktuelle FPGAs von 
Altera/Intel drauf, LEDs, RAM, Gyro. Man kann also schon gut mit 
spielen.

von Thomas W. (diddl)


Lesenswert?

Suchender schrieb:
> Nicht so einfach - die 26€ sehen erstmal gut aus. Es ist allerdings 0
> Peripherie dran. Ohne ein Stück Draht, ein Widerstand und eine LED kann
> noch nicht mal etwas blinken lassen.

Der Mojo hat doch eh 9 LED und zwei Taster.

Und es gibt dich das IO Board mit 7-Segment:
https://alchitry.com/products/io-shield



Aber das AX309 kann ich auch sehr empfehlen:
https://oe7twj.at/index.php?title=FPGA/AX-309

Die haben extrem viel Beispiel Code dabei.

von Thomas W. (diddl)


Lesenswert?

Wenn du auf viel und flexibel einsetzbares Zubehör stehst, dann gibt es 
auch noch das Open3S500E:

https://oe7twj.at/index.php?title=FPGA/Open3S500E


Dieselben Boards gibt es bei WaveShare übrigens auch von Altera, auch 
für die Altera CPLD. Das Zubehör ist dabei flexibel für alle Boards 
einsetzbar. Wie ein Lego Kasten ...

von No Y. (noy)


Lesenswert?

Ich hätte auch noch ein paar von diesen hier abzugeben:
Beitrag "[V] MAX1000 / Arduino MKR zu Arduino UNO Adapter PCB"

von Suchender (Gast)


Lesenswert?

Danke für die Hinweise. Nach reiflicher Überlegung habe ich mich für ein 
AX309(clone) mit Platform Cable(clone) aus der Bucht für zusammen knapp 
über 60€ entschieden. Somit ist erstmal Peripherie zum Spielen da und 
für spätere Projekte kann man z.B. was aktuelleres von Xilinx (evtl. was 
von Trenz damit auch unsere Wirtschaft was davon hat) nehmen und hätte 
das Platform Cable zum programmieren schon da.

von Thomas W. (diddl)


Lesenswert?

Gute Wahl, das Board ist erstklassig, und super dokumentiert, hab gerne 
damit gearbeitet.



Die Trenz Boards haben oft schon den Programmer auf dem Board (USB).

von Gorgonzola (Gast)


Lesenswert?

Thomas W. schrieb:
> Die Trenz Boards haben oft schon den Programmer auf dem Board (USB).

... und sind (meistens) ebfalls gut dokumentiert.

Andere Frage:

Ich würde gerne meinen Fernser mit 4k-Video ansteuern 4096x2160 und zwar 
möglichst auch im 4:4:4 - Format, weil ich gelernt habe, dass per broad 
cast televosion nur 4:2:2 anzukommen scheint und ich es testen möchte.

Es soll auch ein Telespiel (FPGA-arcade) portiert werden, welches in 
mehreren Ansichten läuft, wobei 800 x 600 in 4 x 3 Feldern laufen soll.

Ich brauche demnach : 800 x 4 = 3200  und  600 x 3 = 1800 Punkte.

Welches preiswerte Video-Demo-board würde sich dafür eignen?

von Donni D. (Gast)


Lesenswert?

4K und 4:4:4 Format, wahrscheinlich 60 Hz? Schau dir mal an welche 
Datenraten du da brauchst, dann wirst du sehen, dass es mit preiswert 
nichts wird. Such der IP Core für HDMI/DisplayPort dürfte teuer werden.

von No Y. (noy)


Lesenswert?

Ggf. statt FPGA ein Rockhip RK3288? Der kann 4k aber ob 4:4:4??


Oder ein Nvidia Jetson?

: Bearbeitet durch User
von Rayne (Gast)


Lesenswert?

Donni D. schrieb:
> Ich kann das MAX1000 oder CYC1000 empfehlen. Da sind aktuelle FPGAs von
> Altera/Intel drauf, LEDs, RAM, Gyro. Man kann also schon gut mit
> spielen.

Die habe ich auch beide, vor allem mit dem CYC1000 kann man so einiges 
anstellen, falls man sich nicht scheut, fehlende Schnittstellen selbst 
nachzurüsten.

von Hans Kanns (Gast)


Lesenswert?

No Y. schrieb:
> Oder ein Nvidia Jetson?

Dann muss er sein VHDL in C umschreiben (lassen) denn:

Gorgonzola schrieb:
> Es soll auch ein Telespiel (FPGA-arcade) portiert werden

Gibt es keine Evaluierungs-Plattformen für die Chips, die 4k machen?
So etwas wie ADV8005?

von No Y. (noy)


Lesenswert?

FPGA mit dem Arcade Spiel an den Jetson / Rockchip?

VHDL Simulator auf den Jetson / Rockchip?

Ich denke mal die Zynq und Altera SOC haben keine GPU die "stark" genug 
ist...

von S. R. (svenska)


Lesenswert?

Suchender schrieb:
> Nach reiflicher Überlegung habe ich mich für ein AX309(clone)
> mit Platform Cable(clone) aus der Bucht für zusammen knapp
> über 60€ entschieden.

So eins hab ich auch, funktioniert gut.

von Thomas W. (diddl)


Lesenswert?

Gorgonzola schrieb:
> Andere Frage:
>
> Ich würde gerne meinen Fernser mit 4k-Video ansteuern 4096x2160 und zwar
> möglichst auch im 4:4:4 - Format, weil ich gelernt habe, dass per broad
> cast televosion nur 4:2:2 anzukommen scheint und ich es testen möchte.
>
> Es soll auch ein Telespiel (FPGA-arcade) portiert werden, welches in
> mehreren Ansichten läuft, wobei 800 x 600 in 4 x 3 Feldern laufen soll.
>
> Ich brauche demnach : 800 x 4 = 3200  und  600 x 3 = 1800 Punkte.
>
> Welches preiswerte Video-Demo-board würde sich dafür eignen?


800 x 600
Arcade?

Ich könnte mir vorstellen, dass es schon eine günstige Lösung gibt:

- Ein Papilio Duo
- Ein Gameduino Shield als Aufsatz beim Papilio



Der Papilio hat nur einen Spartan 6, da muss man schauen ob der langt.

Aber das Papilio hat 2MB SRAM, was viele Retro und Arcade Dinge sehr 
erleichtert. Und es hat einen ATmega 32U4 drauf, der mit 16 I/O mit dem 
Spartan verbunden ist.

Und es hat einen Arduino kompatiblen Shield Connector. Deswegen kann man 
zb. das Gameduino als Grafikkarte einsetzen.



Kosten:
- 90€ (Papilio Duo 2MB SRAM)  oder 65€ (Papilio Duo 512KB SRAM)
- 35€ Gamduino II oder III


Das Ganze halt vier mal und ein HDMI Konverter. Könnte zb. ein Mojo mit 
HDMI Shield sein.

: Bearbeitet durch User
von Richard B. (r71)


Lesenswert?

Gorgonzola schrieb:
> Ich würde gerne meinen Fernser mit 4k-Video ansteuern 4096x2160 und zwar
> möglichst auch im 4:4:4

Ansteuern? Quelle?

Dein Spiel wird wohl keine 4:4:4 Wiedergabe brauchen.

von Gorgonzola (Gast)


Lesenswert?

Thomas W. schrieb:
> 800 x 600
> Arcade?
Ja, aber praktisch 12 Versionen gleichzeitig. Die FPGA-Plattform soll 
und wird das parallel machen können. Vlt werden es auch 4x2 oder 3x3.

Richard B. schrieb:
> Dein Spiel wird wohl keine 4:4:4 Wiedergabe brauchen.
Ok, aber ich hätte schon gerne den vollen Umfang.


Hans Kanns schrieb:
> No Y. schrieb:
>> Oder ein Nvidia Jetson?
> Dann muss er sein VHDL in C umschreiben (lassen) denn:

Die Portierung ist nicht das Problem. Was in C läuft oder laufen könnte, 
ist ja schon integriert. Das Spielsystem ist mehr oder weniger fertig.

von Suchender (Gast)


Lesenswert?

So - die Brocken waren am Samstag da. Es blinkt und gibt Töne von sich. 
Das erste BlinkLED gemäß einem Turorial ist auch schon sowohl im RAM als 
auch im Flash gewesen und die werkseitige Demoprogrammierung konnte 
erfolgreich gesichert werden. Auf zu neuen Ufern :-)

von Richard B. (r71)


Lesenswert?

Suchender schrieb:
> So - die Brocken waren am Samstag da

Was hast du eigentlich bestellt? AX309?

von Suchender (Gast)


Lesenswert?


von Richard B. (r71)


Lesenswert?

Ich habe gerade auch was bekommen.
Alchitry Au...Das Teil ist kleiner als eine KK.

von Thomas W. (diddl)


Lesenswert?

Richard B. schrieb:
> Ich habe gerade auch was bekommen.
> Alchitry Au...Das Teil ist kleiner als eine KK.

Ja der XC7 ist schon toll.


Das Alchitry Cu ist aber auch ganz schön:
https://oe7twj.at/index.php?title=FPGA/Alchitry



Das macht dann halt erst richtig Sinn, wenn es mal mehrere Shields dafür 
gibt, so wie beim Mojo v3.

Zur Zeit ist man mit einem Digilent Board (Arty Artix) fast besser 
bedient ...

von Gustl B. (-gb-)


Lesenswert?

Ob man sie mag oder nicht, diese PMODs haben sich irgendwie weit 
verbreitet. Und sie sind bastlerfreundlich mit ihren 8 IOs + Spannung 
und Masse im 0,1" Format.

Sehr schade, dass keines der Alchitry Boards auch nur einen einzigen 
solchen Anschluss bietet. Nicht man die Zusatz-IO-Platine hat PMODs.

Vielleicht wäre das die Möglichkeit selber ein IO Board zu entwerfen das 
etwas bastlerfreundlicher ist. Macht das mal (-:

Edit:
Selbst das kleine CMOD S7/A7 hat einen PMOD Anschluss und ist daher aus 
meiner Sicht für Anfänger besser geeignet.

: Bearbeitet durch User
von Richard B. (r71)


Lesenswert?

Thomas W. schrieb:
> Zur Zeit ist man mit einem Digilent Board (Arty Artix) fast besser
> bedient ...

Gustl B. schrieb:
> Sehr schade, dass keines der Alchitry Boards auch nur einen einzigen
> solchen Anschluss bietet. Nicht man die Zusatz-IO-Platine hat PMODs.

Ja, das ist mir leider auch erst jetzt aufgefallen.
Diese DIP switches sind auch unbedienbar.

Gustl B. schrieb:
> Vielleicht wäre das die Möglichkeit selber ein IO Board zu entwerfen das
> etwas bastlerfreundlicher ist. Macht das mal (-:

Wenn, dann gleich eine neue Platine.

von -gb- (Gast)


Lesenswert?

Ja gut, eine neue Platine mit FPGA wird deutlich komplizierter wie nur 
eine IO Platine zum Dranstecken.

von Richard B. (r71)


Lesenswert?

-gb- schrieb:
> Ja gut, eine neue Platine mit FPGA wird deutlich komplizierter
> wie nur eine IO Platine zum Dranstecken

Ich habe das Br Board übersehen... und gerade nachbestellt.

von Gustl B. (-gb-)


Lesenswert?

Hat auch kein PMOD. Wenn unbedingt das Alchitry, dann sollte jemand mal 
so ein Hukepack-Board machen das die IOs der 4 Header auf PMODs führt 
oder noch anderes Sinnvolles anbindet.

Das Cu hat 79 IOs, das Au 102 IOs. Da könnte man z. B. 4 PMODs anbinden 
und zusätzlich andere Anfängerfreundliche Hardware. Ein paar Schalter, 
Buttons, ein kleines LCD Display, 7-Segment-Anzeigen und SRAM. 
Vielleicht auch noch einen IC mit SPI, einen mit I2C und VGA. Geht wohl 
nicht alles gleichzeitig bei der begrenzten IO-Zahl, aber ich meine, die 
Boards sind ja fein, aber für Anfänger die nicht Blockdesign mit CPU 
sondern Hardware in VHDL lernen wollen ist das nicht ganz passend. Da 
brauche ich keinen DDR-RAM.

von Thomas W. (diddl)


Lesenswert?

Gute Idee.

Pmod alchitry Shield ...

von Hans (Gast)


Lesenswert?

Gustl B. schrieb:
> aber für Anfänger die nicht Blockdesign mit CPU
> sondern Hardware in VHDL lernen wollen ist das nicht ganz passend. Da
> brauche ich keinen DDR-RAM.

Das wäre aber schon nötig, wenn man Grafik machen will. Die FPGA haben 
bekanntlich nicht so arg viel Block RAM und die kleinen schon mal gar 
nicht. Das oben erwähnte Spartan 6 hat den kleinsten drauf, den man 
bekommen kann. Der ist zu nichts anderes zu gebrauchen, als zum VHDL 
probieren.

Ich würde schon einen Zynq nehmen. Der hat Optionen für mittelgroße 
FPGA-Anwendungen, kann einiges an C_Code verkaften und trägt sogar ein 
OS, wenn es sein muss.

von -gb- (Gast)


Lesenswert?

Ist eben die Frage was man will. Ein System im FPGA zusammen klicken und 
dann Software in C schreiben?
Ja, dafür ist das Board OK.

Will man VHDL lernen von blinkender LED aufwärts, dann finde ich das 
Board nicht anfängerfreundlich. Es gibt auch RAM, eben SRAM das man als 
Anfänger mit selbst geschriebenem VHDL verwenden kann. So ein SRAM Stein 
war für mich das erste externe IC bei dem ich ein Timing nach Datenblatt 
einhalten musste. OK, einen UART hatte ich auch schon geschrieben, aber 
da ist das Timing deutlich entspannter.

von Thomas W. (diddl)


Lesenswert?

-gb- schrieb:
> So ein SRAM Stein
> war für mich das erste externe IC bei dem ich ein Timing nach Datenblatt
> einhalten musste.

Timing? SRAM? SRAM ist doch ganz harmlos mit dem Tíming?

Oder meinst du SDRAM?

von Bonzo (Gast)


Lesenswert?

Thomas W. schrieb:
> Timing? SRAM? SRAM ist doch ganz harmlos mit dem Tíming?

Trotzdem muss man es einhalten. Und so ganz harmlos ist es ja nicht. 
Schnelle FPGAs sind an den IOs schon deutlich schneller, als mancher 
SRAM und bei den langsamen FPGAs kommt man in die Schwierigkeit, Takt 
und eingehende Daten so zu synchronisieren, dass es schnell wird.

von -gb- (Gast)


Lesenswert?

Ja SRAM ist einfach aber Mann muss trotzdem etwas tun. Man kann das aber 
als Anfänger lösen. Vielleicht braucht man dann zum ersten mal eine PLL 
und so, schöne Möglichkeiten zu lernen.
Bei DDR oder SDRAM ist es für Anfänger sehr schwer. Auch 
Fortgeschrittene schreiben da wohl eher selten einen eigenen Controller. 
Ist auch OK, gibt ja den MIG.

Ist eben abhängig von dem was man lernen möchte welche Hardware man 
nehmen sollte.

von Richard B. (r71)


Lesenswert?

Wie aktuell ist ein Spartan 6 FPGA wirklich?
Immerhin ist es noch als 144 TQFP erhältlich.

von Helmut S. (helmuts)


Lesenswert?

Richard B. schrieb:
> Wie aktuell ist ein Spartan 6 FPGA wirklich?
> Immerhin ist es noch als 144 TQFP erhältlich.

Xilinx will Spartan 6 ein langes Leben bescheren damit die Fabs noch 
lange ausgelastet werden. Die bauen absichtlich kein Spartan 7 in TQFP, 
damit die Kunden die kein BGA auf ihren Boards haben wollen weiterhin 
Spartan 6 kaufen müssen.

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Das ist in der Tat ärgerlich. Aber ein Spartan7 XC7S50 kann vollständig 
auf 4 Lagen geroutet werden und ist mit Heißluft sehr leicht zu löten. 
Das Problem an der 6er Serie ist, dass die nicht von Vivado unterstützt 
wird.

von Signalverarbeiter (Gast)


Lesenswert?

Helmut S. schrieb:
> Xilinx will Spartan 6 ein langes Leben bescheren damit die Fabs noch
> lange ausgelastet werden. Die bauen absichtlich kein Spartan 7 in TQFP,
> damit die Kunden die kein BGA auf ihren Boards haben wollen weiterhin
> Spartan 6 kaufen müssen.
Ist das Vermuttung oder Wissen?

Wann Xilinx wirklich den 6er noch puschen will, warum gibt es den dann 
nicht im Vivado?

Irgendeine Strategie muss es freilich geben, denn Spartan 7 gibt es z.B. 
nicht als kleine Version im großen 676er Gehäuse. Willst du genug 
Anschlüsse muss ein mittlerer 7er her. Warum? Dies führt aktuell z.B: 
dazu, dass einige auf Altera Cyclone wechseln, den es in so großen 
Gehäuse mit vielen Pins billiger gibt.

Komisch:

Angeblich ist der 7er doch besser und in Relation stromsparender, da 
kann man DEN doch in den 6er Gehäusen verkaufen.

Oder, der 7er ist ein gut produzierter (oder selektierter) 6er, zum 
Elitepreis?

Ich sehe heute eigentlich kaum eine Chance, einen 6er irgendwo ins 
design zu kriegen. Der Artix z.B. ist im Schnitt 30% performanter in der 
maximalen Geschwindigkeit und in Relation zum Preis 50% wirtschaflticher 
wegen der Resourcen.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Helmut S. schrieb:
> Die bauen absichtlich kein Spartan 7 in TQFP,
> damit die Kunden die kein BGA auf ihren Boards haben wollen weiterhin
> Spartan 6 kaufen müssen.


dasmwird wohl eher daran liegen, dass BGA deutlich freundlicher fuer 
Highspeed Anwendungen ist als TQFP. Die UserIOs gehen immerhin bis 
1,25Gb/s.

von Thomas W. (diddl)


Lesenswert?

Helmut S. schrieb:
> Xilinx will Spartan 6 ein langes Leben bescheren damit die Fabs noch
> lange ausgelastet werden. Die bauen absichtlich kein Spartan 7 in TQFP,
> damit die Kunden die kein BGA auf ihren Boards haben wollen weiterhin
> Spartan 6 kaufen müssen.

Ja aber ganz ehrlich, für einen Privat Anwender, der sich ein bisschen 
mit VHDL und FPGA auseinandersetzen will …


… ist da ein Spartan 6 nicht vollkommen ausreichend?

von Richard B. (r71)


Lesenswert?

Gustl B. schrieb:
> Das Problem an der 6er Serie ist, dass die
> nicht von Vivado unterstützt wird.

Signalverarbeiter schrieb:
> Irgendeine Strategie muss es freilich geben

Genau das verstehe ich nicht wirklich...

Signalverarbeiter schrieb:
> Ich sehe heute eigentlich kaum eine Chance, einen 6er
> irgendwo ins design zu kriegen.

Warum wird der noch verkauft (und produziert)?

Signalverarbeiter schrieb:
> Der Artix z.B. ist im Schnitt 30% performanter in der
> maximalen Geschwindigkeit und in Relation zum Preis 50% wirtschaflticher
> wegen der Resourcen.

Ich habe ein Alchitry Au hier.
Der wird heiss und ist auch nicht gerade billig.

von Fitzebutze (Gast)


Lesenswert?

Signalverarbeiter schrieb:
> Ist das Vermuttung oder Wissen?
>

Ich weiss nur, dass es bei zumindest einem chinesischen Broker riesige 
Mengen Sp6-LX9 verfügbar sind und auch laufend aufgestockt werden. Und 
so billig sind, dass der Umstieg auf Kintex erst mal gar kein Thema ist.

> Wann Xilinx wirklich den 6er noch puschen will, warum gibt es den dann
> nicht im Vivado?

Why touch the running system? Ich bin äusserst froh, dass ich Vivado 
dafür NICHT nutzen muss.
Xilinx schafft sich damit auch den Support vom Leibe. Scheint gut zu 
funktionieren.

Thomas W. schrieb:
> Ja aber ganz ehrlich, für einen Privat Anwender, der sich ein bisschen
> mit VHDL und FPGA auseinandersetzen will …
>
> … ist da ein Spartan 6 nicht vollkommen ausreichend?

Kaum einer reizt alle Features aus, solange er nicht damit high speed 
I/O macht. Also: ja.
Für Videosachen würde ich ihn nicht mehr nehmen. Da gibt's bei Lattice 
wiederum schickere Käfer.

von Richard B. (r71)


Lesenswert?

Fitzebutze schrieb:
> Und so billig sind...

Wie billig?

von Thomas W. (diddl)


Lesenswert?

So hat halt jeder seine Vorlieben und Präferenzen …


Bei Olimex verbaut man sogar heute noch Spartan 3 (XC3S200A)!
Bei WaveShare übrigens auch ...

: Bearbeitet durch User
von Fitzebutze (Gast)


Lesenswert?

Richard B. schrieb:
> Wie billig?

Unter vier USD zu 100 Stück. Möchte den Broker aber nicht nennen.
Auf Alibaba sollte man aber auch fündig werden.

von Gustl B. (-gb-)


Lesenswert?

Richard B. schrieb:
> Ich habe ein Alchitry Au hier.
> Der wird heiss und ist auch nicht gerade billig.

Was machst du denn im FPGA?

Fitzebutze schrieb:
> Ich weiss nur, dass es bei zumindest einem chinesischen Broker riesige
> Mengen Sp6-LX9 verfügbar sind und auch laufend aufgestockt werden. Und
> so billig sind, dass der Umstieg auf Kintex erst mal gar kein Thema ist.

Der Kintex ist auch kein Ersatz für einen sehr kleinen Spartan6. Wenn, 
dann steigt man auf einen Spartan7 um. Kintex ist gehobene Mittelklasse 
und nicht gerade billig.

Fitzebutze schrieb:
> Kaum einer reizt alle Features aus, solange er nicht damit high speed
> I/O macht. Also: ja.

Das ist auch schwer wenn du die IOs zu den Features zählst. Aber sonst 
bekommt man die Steine problemlos gefüllt ohne alle IOs zu nutzen. Bei 
mir ist der BRAM der Grund wieso ich eine Nummer größer genommen habe.

von Richard B. (r71)


Angehängte Dateien:

Lesenswert?

Gustl B. schrieb:
> Was machst du denn im FPGA?

Noch nichts. Es läuft ein LED Demo drauf (vom Werk aus).
Ich bin noch dabei das IO Board zu reparieren.

von Gustl B. (-gb-)


Lesenswert?

OK, was bedeutet "heiß"? Ein paar LEDs leuchten lassen sollte den Artix 
nicht spürbar erwärmen.

: Bearbeitet durch User
von Richard B. (r71)


Lesenswert?

Ja, "heiß" ist relativ. ~55°C bei 8 LEDs durch PWM ansteuern.
8 Grüne LEDs sind direkt über (8x) R330 angeschlossen.

von -gb- (Gast)


Lesenswert?

Finde ich zu viel und 330R zu wenig. Ich verwende eher 2k bis 10k 
Widerstände, das soll ja nicht blind machen. Aber auch diese grob 80mA 
dürfen das nicht so stark erwärmen. Vielleicht ist die Wärmequelle ja wo 
anders, der Schaltregler oder so.

von Thomas W. (diddl)


Lesenswert?

-gb- schrieb:
> Vielleicht ist die Wärmequelle ja wo
> anders, der Schaltregler oder so.

Mein AU wird nicht heiß?


Vielleicht hängt es mit dem Display Fehler zusammen?
Das Segment links oben scheint defekt zu sein?
Womöglich ein Masseschluss?

von Gustl B. (gustl_b)


Lesenswert?

Wieso durfte ich vorhin hier noch als Gast posten und jetzt muss ich 
mich anmelden?! Egal ... ja, miss mal den Strom der über USB oder eben 
die Versorgung kommt.

: Bearbeitet durch User
von Helmut S. (helmuts)


Lesenswert?

Signalverarbeiter schrieb:
> Helmut S. schrieb:
>> Xilinx will Spartan 6 ein langes Leben bescheren damit die Fabs noch
>> lange ausgelastet werden. Die bauen absichtlich kein Spartan 7 in TQFP,
>> damit die Kunden die kein BGA auf ihren Boards haben wollen weiterhin
>> Spartan 6 kaufen müssen.
> Ist das Vermutung oder Wissen?

Das ist für mich einfach der logische Schluss. Aus Kundensicht haben die 
6er den Nachteil, dass man die nicht in Vivado entwickeln kann sondern 
mit der alten ISE entwickeln muss. Das macht dann Extra-Aufwand in der 
Entwicklungsabteilung, wenn man auch 7er FPGA verwendet die ja mit 
Vivado entwickelt werden.

von Richard B. (r71)


Lesenswert?

-gb- schrieb:
> Vielleicht ist die Wärmequelle ja wo
> anders, der Schaltregler oder so.

Nun, das ist schon das große Teil in der Mitte ;)
Bei 5,2V hat es immerhin noch >50°C

Thomas W. schrieb:
> Mein AU wird nicht heiß?

Wenn ich alles lösche, wird meines auch nicht heiß.
Sind das die LEDs? Wie viel darf pro Pin fließen?

> Using drive strengths of 2, 4, 6, 8, 12, 16, or 24 mA.

Verstehe ich (noch) nicht...

Thomas W. schrieb:
> Vielleicht hängt es mit dem Display Fehler zusammen?

Das IO habe ich nicht oben. Sonst könnte ich es nicht messen.
2 Segmente sind defekt. Display Nr 2 und 4 (2x f).
Die werden richtig angesteuert, dort ist nichts.

Gustl B. schrieb:
> ja, miss mal den Strom der über USB oder
> eben die Versorgung kommt

Bei 5,2V 270mA, bei 4,9V ~310mA (mit Demo App)

: Bearbeitet durch User
von Gustl B. (gustl_b)


Lesenswert?

HM, für die paar LEDs ist das schon viel. Die drive Strength schreibt 
man in die .xdc Datei.

von Hamburger Hans (Gast)


Lesenswert?

-gb- schrieb:
> Ist eben die Frage was man will. Ein System im FPGA zusammen klicken und
> dann Software in C schreiben?

Ist heute modern :-)

von Gustl B. (-gb-)


Lesenswert?

Stimmt.
Und ist ja auch nicht schlecht, da lassen sich recht komplexe Systeme 
schnell zusammenstellen ohne dass man selbst VHDL können muss.

Ich frage mich nur wo da dann der Vorteil gegenüber einem normalen SoC 
ohne FPGA ist. Eigentlich ist es immer einer dieser drei Fälle:

1. Das was das System im FPGA macht kann ich auch mit einem uC/SoC und 
zukaufbarer Fertighardware günstig lösen.

2. Ich habe ein Spezialproblem, aber es gibt dafür IP die ich kaufen 
kann.

3. Für mein Problem gibt es weder IP noch Fertighardware. Dann muss ich 
das salber mit einer HDL angehen.

von Gustl B. (-gb-)


Angehängte Dateien:

Lesenswert?

So, jetzt habe ich mir mal die Alchitry Au Eagle .lib und sieht gut aus, 
da kann man selber ein Board mit PMODs bauen. Vielleicht entwerfe ich so 
eines ...

Aber was ich mich generell frage:
Zahlen die an Xilinx für eine JTAG Lizenz? Der original Xilinx JTAG 
Adapter ist irre teuer und auch die JTAG Kabel und Adapter von z. B. 
Digilent sind nicht gerade der Materialpreis. Wie machen das also diese 
ganzen Hersteller von Billigboards? (Ja, ich weiß das kann man clonen, 
habe ich auch schon getan, ist aber vielleicht nicht legal.)

Jetzt ist noch die Frage was man auf dem Board alles haben will?
Die Stecker selbst sitzen leider sehr am Rand, so dass man da keine 
PMODs mit THT verbauen kann. Man könnte jetzt an den kurzen Seiten je 
zwei? PMODs verbauen. Oder man lässt die Board2Board Verbinder auf der 
Oberseite weg und verbaut auch an den Breitseiten PMODs in SMD. Dann 
kann man eben keine weiteren Boards draufstecken.

Was wäre noch sinnvoll? Ich fand es als Anfänger sinnvoll möglichst 
vieles mal gemacht zu haben. UART ist schon auf dem Basisboard drauf, 
SRAM wäre was, dann Schalter, Buttons und LEDs, mal ein Schieberegister 
(für noch mehr LEDs^^), einmal etwas mit SPI (ADXL362 ?), dann noch 
etwas mit I2C (ADT7420 ?). Schick wäre auch einmal ADC und DAC , ein 
Display oder gleich VGA?
Dann könnte der Anfänger schon so Dinge machen wie eine Wasserwaage mit 
den LEDs.
Welchen Anschluss will man für einen (X)ADC oder DAC? Ich verwende gerne 
SMA, aber auch normale Buchsenleisten sind da wohl üblich.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Gustl B. schrieb:
> Aber was ich mich generell frage:
> Zahlen die an Xilinx für eine JTAG Lizenz? Der original Xilinx JTAG
> Adapter ist irre teuer und auch die JTAG Kabel und Adapter von z. B.
> Digilent sind nicht gerade der Materialpreis. Wie machen das also diese
> ganzen Hersteller von Billigboards? (Ja, ich weiß das kann man clonen,
> habe ich auch schon getan, ist aber vielleicht nicht legal.)

Xilinx hat das vor Jahren mal freigegeben:

Beitrag "Xilinx USB schematic jetzt verfügbar"

Das war dann entsprechend auch der Zeitpunkt, als die ganzen billig 
Klone verfuegbar waren.

Leider laesst sich die Answer Note nicht mehr oeffnen, daher finde ich 
den aktuellen Schaltplan nicht. Sollte sich aber mit etwas Googlen 
auftreiben lassen.

BTW: Auf den Evalboards kann man sich auch die FTDI/JTAG Schaltung 
anschauen. Evtl. ist sogar die FTDI Firmware freigegeben / verfuegbar.

: Bearbeitet durch User
von Gustl B. (-gb-)


Lesenswert?

Tobias B. schrieb:
> Evtl. ist sogar die FTDI Firmware freigegeben / verfuegbar.

Das habe ich eben noch nicht gesehen. Klar, die Schaltung kann man 
einfach nachbauen. Aber ich vermute, dass man für die Firmware zahlen 
müsste. Irgendeine Gebühr an Xilinx.

von Blechbieger (Gast)


Lesenswert?

Bei Intel kostet das Äquivalent 4500 Euro für ein einzelnes Boarddesign, 
13500 für beliebig viele. Xilinx dürfte Ähnliches verlangen.

von Gustl B. (gustl_b)


Lesenswert?

Was? Hast du dazu eine Quelle? Mir geht es nur um die Firmware im 
FT2232H die ja das JTAG macht. Kostet das echt so krass viel?

von Blechbieger (Gast)


Lesenswert?

Intel hat auf ihren Boards einen MAX V CPLD für den embedded 
USB-Blaster. Such mal bei Mouser oder Digikey nach IP-USB2S bzw. 
IP-USB2M . Ich weiß nicht ob man Quartus dazu bringen kann mit einem 
FT2232H zu reden.

von Gustl B. (-gb-)


Lesenswert?

Doch, das geht. Denn so Boards wie das MAX1000 oder CYC1000 
https://shop.trenz-electronic.de/de/TEI0003-02-CYC1000-mit-Cyclone-10-FPGA-8-MByte-SDRAM?c=479 
haben nur den FT2232H drauf. Der macht dann wie bei Xilinx JTAG und 
UART.
Ist eben die Frage:
Ist da in dem Preis eine eher günstige Lizenz mit drinnen für die 
FT2232H Firmware, wurde das einfach illegal geklont oder gibt es dafür 
keine Lizenzkosten und jeder darf das so machen?

von Richard B. (r71)


Lesenswert?

Gustl B. schrieb:
> Ist da in dem Preis eine eher günstige Lizenz mit
> drinnen für die FT2232H Firmware

Es ist eine Seriennummer von Digilent, siehe

https://shop.trenz-electronic.de/en/Products/Trenz-Electronic/Open-Hardware/Xmod-FTDI-JTAG-Adapter/

Nichts ist illegal, allerdingst darfst du mitn FT Tool nicht
auf das Chip zugreifen (änderungen vornehmen) weil sonst die
Digilent SN# ohne Vorwarnung gelöscht wird.

Was für ein FPGA willst du jetzt nehmen?

: Bearbeitet durch User
von Gustl B. (gustl_b)


Lesenswert?

OK, also darf man das klonen, fein.

Für Anfänger jeder Sorte gibt es genug Boards. Ich finde ja die CMOD 
Serie ganz nett aber auch die CYC1000 und MAX1000 sehen gut aus.
Für mehr ist dann ein Nexys4 ganz fein.

von Martin S. (strubi)


Lesenswert?

Tobias B. schrieb:
> Xilinx hat das vor Jahren mal freigegeben:
>
> Beitrag "Xilinx USB schematic jetzt verfügbar"
>
> Das war dann entsprechend auch der Zeitpunkt, als die ganzen billig
> Klone verfuegbar waren.

Gab schon ein Weilchen davor eine offene Firmware fuer FX2-JTAGs um z.b. 
ein Digilent X-Board zum JTAG-Adapter umzukonfigurieren. Siehe auch 
Hunz' OpenNexys.

> BTW: Auf den Evalboards kann man sich auch die FTDI/JTAG Schaltung
> anschauen. Evtl. ist sogar die FTDI Firmware freigegeben / verfuegbar.

Das ist keine Firmware, nur eine Konfiguration.
Die kann man sehr wohl legal klonen.
Wer den albernen Tanz nicht will, nimmt xc3sprog, papilio-prog und die 
andern Abkoemmlinge davon.

von Gustl B. (gustl_b)


Lesenswert?

Gut, aber wieso kostet dann bei Trenz der XMOD Adapter etwas mehr wenn 
der zu Vivado kompatibel ist? Die Hardware ist gleich.

Zitat von 
https://shop.trenz-electronic.de/en/Products/Trenz-Electronic/Open-Hardware/Xmod-FTDI-JTAG-Adapter 
:
The user EEPROM on the TE0790-01 is programmed with a unique serial 
number, licensed from Digilent Inc., and is therefor compatible with 
Xilinx tools. This is why this product has 2 variations and 2 pricings.

The TE0790-02 includes the licensing fee to Digilent, the TE0790-02L has 
a blank EEPROM and because of that it's less expensive. Concerning 
hardware both products are exactly the same.

Ich vermute also, dass das sehr wohl was kostet. Die Frage ist jetzt ob 
Hersteller wie Alchitry da was gezahlt haben oder nicht. Klonen ist wie 
ich das verstehe auch nicht legal aber funktioniert.

Edit:
https://forums.xilinx.com/t5/FPGA-Configuration/Digilent-JTAG-USB-with-ftdi/td-p/756278
Ist wohl nicht legal das zu klonen. Also entweder Lizenzkosten zahlen 
oder Hardware von Digilent draufbauen.

: Bearbeitet durch User
von Richard B. (r71)


Lesenswert?

Gustl B. schrieb:
> Klonen ist wie ich das verstehe auch nicht legal aber funktioniert.

Das denke ich auch. Fragen kostet aber nichts.
Der Typ scheint nett zu sein...

EDIT: Ich habe bei Ali 10 Spartan 6 (XC6SLX9) um 40 EUR gefunden.

: Bearbeitet durch User
von S. R. (svenska)


Lesenswert?

Werden die Spartan6-Chips noch produziert oder sitzt da nur ein Chinese 
auf großen Bergen?

von Gustl B. (-gb-)


Lesenswert?

Bei dem Alchitry wundert mich noch, dass an der USB-C Buchse CC1 und CC2 
miteinander verbunden sind. Eigentlich sollte jeder einen 5.1k 
Widerstand nach Masse bekommen.
War das nicht auch eines der Probleme beim Raspberry4?

von Richard B. (r71)


Lesenswert?

S. R. schrieb:
> Werden die Spartan6-Chips noch produziert oder
> sitzt da nur ein Chinese auf großen Bergen?

Spartan 6 bis mindestens 2027 verfügbar... (xilinx)

Haben die ein Date Code?

von Martin S. (strubi)


Lesenswert?

Gustl B. schrieb:
> Ist wohl nicht legal das zu klonen.

Aus eigener Erfahrung weiss ich nur, dass man eine Handhabe hat, wenn 
man dem Nutzer einen Verstoss gegen die Lizenzbedingungen nachweisen 
kann. EEPROM auslesen/kopieren reicht da offenbar nicht aus und ist auch 
keine Umgehung einer Schutzmassnahme, die man hierzulande angehen 
koennte.
Das Getue um einen einfachen JTAG-Treiber ist bei X/D eh albern, andere 
Firmen wie Lattice handhaben das eleganter.
Da ich selbst aber z.B. auch kein Gschmaeckle hinterlassen will, nehme 
ich halt eben OpenSource fuer mein Brett.
Es gab/(gibt noch?) auch die total legale Methode des 'Impact 
cableserver', darueber habe ich den Support fuer meine VID/PID 
abgedeckt. Man sollte dem User auch nicht dauerndes Umprogrammieren von 
VID/PID zumuten muessen.

von Gustl B. (-gb-)


Lesenswert?

Martin S. schrieb:
> Man sollte dem User auch nicht dauerndes Umprogrammieren von
> VID/PID zumuten muessen.

Gut, wenn man das EEPROM einmal geklont hat dann bleibt das so.

Martin S. schrieb:
> Das Getue um einen einfachen JTAG-Treiber ist bei X/D eh albern, andere
> Firmen wie Lattice handhaben das eleganter.

Haben die nich so ein komisches Lizenzmodell für ihre Software?

Aber zurück zum Thema:
Was fehlt denn bei aktuellen Boards? Ich finde die Hersteller bieten 
schon echt viel an von günstig bis teuer, von mehr LEDs und Schalter bis 
zu DDR und SoC. Nur diese Wollmilchsau eben nicht weil es sie nicht 
geben kann. Was oft fehlt finde ich sind DA und AD Wandler. Ja, der XADC 
ist jetzt häufig rausgeroutet, mehr aber nicht. Das alte Spartan 3E-1600 
Development Board hatte noch richtig viel Zeug drauf für Anfänger bis 
Fortgeschrittene.

von FPGA zum Spass (Gast)


Lesenswert?

Ich suche z.b. aktuell ein Board mit Videoausgabe, schnellem Speicher, 
wenigstens 20 freien Pins auf PMODs oder Pfostenleiste und sehr großem 
FPGA.(mein 115k Cyclone 4 wird zu klein) und im Idealfall Audioausgabe. 
Bugdet bis ~2000€.

Obwohl das jetzt nicht sonstwie exotisch klingt ist die Auswahl ist da 
sehr dürftig.

Gefunden habe ich aktuell nur Nexys Video (200k Artix 7), Genesys 2(325k 
Kintex 7) und ZCU104(530k Zync Ultrascale+).

Letzteres wäre mein klarer Favorit, wenn nicht fast alles am PS-Teil 
angeschlossen wäre und ich den PS Teil gar nicht haben will. So steigt 
nur die Komplexität unnötig.

Von Altera/Intel scheint es leider oberhalb vom De2-115 und De-10 gar 
nichts brauchbares zu geben, obwohl ich gerne dort bleiben würde...


Hat halt jeder seine eigenen Anforderungen.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

FPGA zum Spass schrieb im Beitrag #6017409:
> Letzteres wäre mein klarer Favorit, wenn nicht fast alles am PS-Teil
> angeschlossen wäre und ich den PS Teil gar nicht haben will. So steigt
> nur die Komplexität unnötig.

ZCU106? DDR Speicher und HDMI sind da im PL Teil drin. Sogar nenn SDI 
In/Out ist mit dran. Nur Displayport ist am PS angeschlossen.

von Gustl B. (-gb-)


Lesenswert?

FPGA zum Spass schrieb im Beitrag #6017409:
> Ich suche z.b. aktuell ein Board mit
a) Videoausgabe
b) schnellem Speicher,
c) wenigstens 20 freien Pins auf PMODs oder Pfostenleiste
d) sehr großem FPGA.
e) im Idealfall Audioausgabe.

Naja alles auf einem Board ist tatsächlich selten. Vor allem weil nur 
wenige Boards mit Video auch 20 IOs auf Pfosten haben.
Aber sonst bekommt man das schon. Es gibt mittlerweile viele Boards mit 
FMC Anschluss. Und dafür gibt es Zusatzkarten mit HDMI.

Ja und etwas konkreter sollte es vielleicht auch sein. Wie viele von 
welchen FPGA Blöcken werden denn belegt vom Design? Was ist 
Videoausgabe? FBAS/PAL/SECAM über Chinch? VGA, HDMI, Displayport, SDI? 
HDMI kann man auch über ein paar PMODs machen.

Hier bei deinem Nic auf Englisch gibt es das mit 8 IOs (4 differentielle 
Pärchen) https://www.fpga4fun.com/HDMI.html

Schneller Speicher ist wohl DDR2/3 gemeint, aber kommt auch drauf an. 
SRAM ist da deutlich schneller enn es um zufällige Zugriffe geht.

Für Stereo Audio reichen im Minimalfall zwei IOs mit PWM oder PDM und 
dahinter ein analoges Tiefpassfilter.

Aber egal, es gibt ja Boards die deine Anforderungen erfüllen.

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Gustl B. schrieb:
> c) wenigstens 20 freien Pins auf PMODs oder Pfostenleiste

Die Anforderung ist in der Tat sinnlos. Da nimmt man dann die DMC XM105 
Debug Card fuer ein paar wenige Kroeten und gut.

Aber Video und Speicher ist auf vielen Boards der angegeben 2000€ 
Preisklasse vorhanden. Da wuerde ich mir erstmal Gedanken manchen mit 
welchen FPGA Hersteller ich gehen moechte und dann dort entsprechendes 
Board raussuchen.

von Signalverarbeiter (Gast)


Lesenswert?

Tobias B. schrieb:
> dasmwird wohl eher daran liegen, dass BGA deutlich freundlicher fuer
> Highspeed Anwendungen ist als TQFP. Die UserIOs gehen immerhin bis
> 1,25Gb/s.
Aber nicht beim S6(?)

Richard B. schrieb:
> Warum wird der noch verkauft (und produziert)?
Weil es viele Nachbestellungen und Nachproduktionen mit alten Designs 
gibt. Es gibt Branchen, die müssen 20 Jahre beliefert werden. Hast du 
2010 einen S6 verbaut, kommt heute eine Nachrüstung von 250 Schaltungen 
und zudem 100 neue Platinen. Also 350x den alten 6er drauf.

Ein Problem ist nämlich, sich das Zeug auf Lager zu legen. Die Chips 
lassen sich nicht mehr so gut verlöten, wenn sie zu lagen rumgelegen 
haben.

von Signalverarbeiter (Gast)


Lesenswert?

Gustl B. schrieb:
> a) Videoausgabe
> b) schnellem Speicher,
> c) wenigstens 20 freien Pins auf PMODs oder Pfostenleiste
> d) sehr großem FPGA.
> e) im Idealfall Audioausgabe.

Nexys Video

von Tobias B. (Firma: www.elpra.de) (ttobsen) Benutzerseite


Lesenswert?

Signalverarbeiter schrieb:
> Aber nicht beim S6(?)

Hmm gute Frage, die User IOs beim Spartan 6 gehen imerhin auch bis max. 
1,08 Gb/s. Gibt fuer das TGC144 Package auch keine weiteren 
Einschraenkungen soweit ich das jetzt sehen konnte.

Dann wirds wohl eher so sein, dass der Markt einfach nicht nach dem 
Package fragt. ;-)

Beitrag #6082993 wurde vom Autor gelöscht.
Beitrag #6082999 wurde vom Autor gelöscht.
von Richard B. (r71)


Angehängte Dateien:

Lesenswert?

Richard B. schrieb:
> Ich bin noch dabei das IO Board zu reparieren.

Ich habe alle vier Anzeigen entfernen und tauschen müssen..

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.