Forum: Mikrocontroller und Digitale Elektronik Probleme mit 74ACT573


von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Hallo,

Ich habe in meinem Projekt 8x 74ACT573 eingebaut. Damit ich wollte meine 
Porterweiterung erreichen. Irgendwie ich kriege nicht 74ACT573 einzeln 
ansprechen.

In Test1 hat bei mir alles wunderbar funktioniert, solange bis 74ACT573 
einfach ON bleiben.

In Test2 ich versuche 74ACT573 einzeln ansprechen. Ich habe schon alles 
versucht, aber bei mir das klappt einfach nicht.
74ACT573 muss bei OE=L und LE=L keine änderungen zulasen, aber irgendwie 
das nicht funktioniert.

Ich kann nicht mehr, vielleicht kann mir jemand bitte helfen.

von Peter D. (peda)


Lesenswert?

Was, Dein Compiler braucht *.jpg als Input?

Post mal den Code wie es sich gehört als *.c.


Peter

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Peter Dannegger schrieb:
> Was, Dein Compiler braucht *.jpg als Input?
>
> Post mal den Code wie es sich gehört als *.c.
>
>
> Peter

danke für dein Antwort

ich habe AVR Studio 5

von Nikolaj S. (nikolaj22)


Lesenswert?

ich verwende ATXMega128A1

von Knut B. (Firma: TravelRec.) (travelrec) Benutzerseite


Lesenswert?

Nikolaj Stepannikov schrieb:
> 74ACT573 muss bei OE=L und LE=L keine änderungen zulasen, aber irgendwie
> das nicht funktioniert.

/OE muss LOW sein, um die Ausgänge der Register durchzuschalten. LE ist 
bei allen Registern im Ruhezustand LOW. Um ein einzelnes Register neu zu 
laden, müssen neue Daten an den Eingängen angelegt werden, während alle 
LE-Pins LOW sind. Dann muss LE des einzelnen, zu beschreibenden 
Registers kurz HIGH und wieder LOW werden. Dazu genügt ein Puls von mehr 
als 20ns bei 5V.

von Peter D. (peda)


Lesenswert?

Und nun?
Der Code enthält keinerlei Kommentare!
Niemand weiß also, was er tun soll.

Die 74ACT sind sehr schnelle Gatter. Damit sie einwandfrei funktionieren 
braucht man also ein sauberes Layout (GND-Plane, Abblockkondensatoren, 
kurze Leitungen). Ich würde 4-lagen empfehlen.


Peter

von Wilhelm F. (Gast)


Lesenswert?

Peter Dannegger schrieb:

> Die 74ACT sind sehr schnelle Gatter.

Die funktionieren auch an einem fast 20 Jahre alten Eval-Board für den 
SAB80C517A als Adreß-/Datenmultiplexer. Die Gesamtstromaufnahme nach 
Umstecken von HCT auf ACT war etwas höher als beim normalerweise 
verwendeten 74HC573 oder 74HCT573, etwa 4mA bei Busfrequenz 3MHz. Sie 
haben ja auch eine höhere Treiberleistung. Dem Baustein selbst schreibe 
ich das nicht zu.

von Nikolaj S. (nikolaj22)


Lesenswert?

ich habe sehr sauberer Layout. Ich habe dieses Platin selbst belichtet 
und natürlich auf 2-Lagen. Dadurch ich habe sehr lange LE leitungen (ca. 
23-33cm ) Das kann mein Problem sein?

Ich habe gerade nochmal versucht. Und ist komisch, dass bei jedem 
einschalten Leuchtet was anderes und manchmal leuchtet richtige reihe.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

      test_1.jpg
      test_2.jpg

Schon mal über Netiquette nachgedacht?

>Ich habe in meinem Projekt 8x 74ACT573 eingebaut. Damit ich wollte meine
>Porterweiterung erreichen. Irgendwie ich kriege nicht 74ACT573 einzeln
>ansprechen.


>ich habe sehr sauberer Layout.

Beweis? Bild? Denk aber mal VOR dem Posten and die Bildformate.

> Ich habe dieses Platin selbst belichtet
>und natürlich auf 2-Lagen. Dadurch ich habe sehr lange LE leitungen (ca.
>23-33cm ) Das kann mein Problem sein?

Ja, siehe Wellenwiderstand.

>Ich habe gerade nochmal versucht. Und ist komisch, dass bei jedem
>einschalten Leuchtet was anderes und manchmal leuchtet richtige reihe.

Zufallsgenerator, kann man immer mal gebrauchen ;-)

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

> Beweis? Bild? Denk aber mal VOR dem Posten and die Bildformate.

leider ich habe mehr keine Ausgang Layout :(
man kann sehr schlecht an bild alles erkennen, wegen Schutzlack :(

Aber... :)
Ich habe verstanden, wo ich soll meine Problem suchen. Danke schon.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>      DSC_0959.JPG
>      2,7 MB, 16 Downloads

>> Beweis? Bild? Denk aber mal VOR dem Posten and die Bildformate.

>leider ich habe mehr keine Ausgang Layout :(
>man kann sehr schlecht an bild alles erkennen, wegen Schutzlack :(

ARGGRRRRHH!!!! Und mal wieder DIREKT ins Gesicht geschlagen! DANKE!

von Mikrocontroller P. (Gast)


Lesenswert?

Nikolaj Stepannikov schrieb:
>> Beweis? Bild? Denk aber mal VOR dem Posten and die Bildformate.
>
> leider ich habe mehr keine Ausgang Layout :(
> man kann sehr schlecht an bild alles erkennen, wegen Schutzlack :(

oh mein gott (BILDFORMATE!!!)

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>leider ich habe mehr keine Ausgang Layout :(

Doch, hast du. In deinem CAD-Programm.

von Paul Baumann (Gast)


Lesenswert?

@Nikolai

Versuche mal, an die Anschlüsse "C" (Pin 11) einen Widerstand von 
10Kilo-
ohm gegen 5 Volt zu schalten.

Es ist möglich, daß durch die verhältnismäßig langen Leitungen die
Pegel nicht mehr richtig erkannt werden können.

MfG Paul

von Nikolaj S. (nikolaj22)


Lesenswert?

Paul Baumann schrieb:
> @Nikolai
>
> Versuche mal, an die Anschlüsse "C" (Pin 11) einen Widerstand von
> 10Kilo-
> ohm gegen 5 Volt zu schalten.
>
> Es ist möglich, daß durch die verhältnismäßig langen Leitungen die
> Pegel nicht mehr richtig erkannt werden können.
>
> MfG Paul

danke Paul. Ich werde Heute versuchen :)

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Falk Brunner schrieb:
> @  Nikolaj Stepannikov (nikolaj22)
>
>>leider ich habe mehr keine Ausgang Layout :(
>
> Doch, hast du. In deinem CAD-Programm.

sorry

von Nikolaj S. (nikolaj22)


Lesenswert?

Nikolaj Stepannikov schrieb:
> Paul Baumann schrieb:
>> @Nikolai
>>
>> Versuche mal, an die Anschlüsse "C" (Pin 11) einen Widerstand von
>> 10Kilo-
>> ohm gegen 5 Volt zu schalten.
>>
>> Es ist möglich, daß durch die verhältnismäßig langen Leitungen die
>> Pegel nicht mehr richtig erkannt werden können.
>>
>> MfG Paul
>
> danke Paul. Ich werde Heute versuchen :)

leider 10k Ohm widerstände haben gegen 5 Volt nichts gebracht.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>    * lede_cube_3.0.brd (298,3 KB, 12 Downloads)

Schön, aber viele Leute haben kein Eagle. Ein PNG wäre nicht schlecht.

>>> Versuche mal, an die Anschlüsse "C" (Pin 11) einen Widerstand von
>>> 10Kilo-
>>> ohm gegen 5 Volt zu schalten.
>>
>>> Es ist möglich, daß durch die verhältnismäßig langen Leitungen die
>>> Pegel nicht mehr richtig erkannt werden können.

Unsinn.

>leider 10k Ohm widerstände haben gegen 5 Volt nichts gebracht.

Logisch. 23cm machen statisch rein gar nix. Dynamisch kann da aber schon 
ne Menge Mist passieren, vor allem wenn die Masseführung nicht stimmt.

Deine Masse ist arg suboptimal. Gerade bezüglich deiner LE LEitungen. Da 
wird eine maximale Fläche aufgespannt. Idealerweise verläuft die Masse 
direkt neben oder unter schnellen Leitungen, siehe Wellenwiderstand.
Ich vermute, dass da ordentlich was induktiv einkoppelt, sodass 
benachbarte LEs durch ein aktives LE geschaltet werden, das erklärt auch 
das sporadische Verhalten. Wobei mir auch dein Testprogramm spanisch 
vorkommt, ich sehe keine saubere Ansteuerung der LEs, siehe 
Bitmanipulation.

von Paul Baumann (Gast)


Lesenswert?

@Falk

Dein "Unsinn" kannst Du Dir ein eine Körperöffnung Deiner Wahl schieben!
Ich hätte das sicher nicht geraten, wenn es bei ähnlichen Problemen
nicht schon zum Erfolg geführt hätte.

Einen Rat habe ich noch: Geile Dich lieber weiter an den Bildformaten
auf, darin hast Du Übung.

Und ab dafür...

Grußlos
Paul

von Falk B. (falk)


Lesenswert?

@Paul Baumann (Gast)

>Dein "Unsinn" kannst Du Dir ein eine Körperöffnung Deiner Wahl schieben!

Also ins rechte Ohr. Flop

>Ich hätte das sicher nicht geraten, wenn es bei ähnlichen Problemen
>nicht schon zum Erfolg geführt hätte.

Hmm, das war dann sicherlich eine anderes Situation. Denn der 
Unterschied zwischen "es hat mal funktioniert" und "es funktioniert SO, 
weil" ist, dass man die Effekte verstanden hat und erklären kann und 
nicht irgendwie blumig verwurstet.

>Einen Rat habe ich noch: Geile Dich lieber weiter an den Bildformaten
>auf, darin hast Du Übung.

Ach nöö. So billig? Wenn du die Fachkraft bist, die du glaubst zu sein, 
dann KANNST du deine Ratschläge auch mit substantiellen Argumenten 
unterlegen.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

Noch was. Warum heißt deine Masse N$195, obwohl im Schaltplan überall 
das Massesymbol dran ist? Sehr merkwürdig. Ausserdem ist noch eine 
Luftlinie drin, und das auch noch in der Masse! Nicht gut. Ich hoffe, du 
hast die wenigstens mitteld Draht nachgezogen auf deiner Platine? Denn 
sonst kann es sein, dass die Masse indirekt über Eingänge und 
Schutzdioden verbunden wird, dann wird es sehr lustig.

von Paul Baumann (Gast)


Lesenswert?

>Dein "Unsinn" kannst Du Dir ein eine Körperöffnung Deiner Wahl schieben!

Falk schrob daraufhin:
>Also ins rechte Ohr. Flop

Genau da sollte es hin...
;-)

>Wenn du die Fachkraft bist, die du glaubst zu sein,
>dann KANNST du deine Ratschläge auch mit substantiellen Argumenten
>unterlegen.

Könnte ich, will ich aber nicht. Warum? Weil es dazu Milliarden von
Artikeln im Netz gibt und ich nicht noch einen weiteren hinzufügen 
möchte.
Unnötige Artikel belasten das Netz nämlich ähnlich stark,
wie zu große Bilddateien!

SCNR

Paul

von Nikolaj S. (nikolaj22)


Lesenswert?

Falk Brunner schrieb:
> @  Nikolaj Stepannikov (nikolaj22)
>
> Noch was. Warum heißt deine Masse N$195, obwohl im Schaltplan überall
> das Massesymbol dran ist?

weil

weil bei manchen verbindungen Eagle zuweist Name selbst :)


>Ausserdem ist noch eine Luftlinie drin, und das auch noch in der Masse!

Ich bin auch ein Mensch :) Aber ich habe komplete schaltung mit 
Multimeter überprüft. Und ich habe keine Luftlinie festgestellt. :(???

von Falk B. (falk)


Lesenswert?

>> Noch was. Warum heißt deine Masse N$195, obwohl im Schaltplan überall
>> das Massesymbol dran ist?

>weil bei manchen verbindungen Eagle zuweist Name selbst :)

Nö, nicht bei der Verwendung des GND Symbols. Dann hst du was vermurkst.

>>Ausserdem ist noch eine Luftlinie drin, und das auch noch in der Masse!

>Ich bin auch ein Mensch :) Aber ich habe komplete schaltung mit
>Multimeter überprüft.

Genau so, wie "ich habe sehr sauberer Layout." ;-)

> Und ich habe keine Luftlinie festgestellt. :(???

Das heißt nicht, dass sie nicht da ist. Und sie IST da, wenn du WIRKLICH 
dieses Layout, das du uns gepostet hast, auch belichtet hast.

Poste mal den Schaltplan dazu.

von Falk B. (falk)


Angehängte Dateien:

Lesenswert?

Hmmm, also wenn ich mir so die Platine so ansehe, ist dort kein Draht 
gezogen, um die Luftlinie in der Masse zu ersetzen. Ich wette einen 
Kasten Bier, dass es daran liegt ;-)

Ziehe einen Draht von deinem Prozessor, 5. Pin von rechts in der zweiten 
Reihe oben zur Masseleitung deiner Latches. Ziehe ihn direkt. Gehe nicht 
über Los. ;-)

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

> Das heißt nicht, dass sie nicht da ist. Und sie IST da, wenn du WIRKLICH
> dieses Layout, das du uns gepostet hast, auch belichtet hast.
>
> Poste mal den Schaltplan dazu.

ich habe Luftlinie gefunden. Danke. Ich werde das gleich mit etwas Draht 
korrigieren. :)

von Bonz, Prass & Prozz (Gast)


Lesenswert?

Wenn die Unterseite mit GND aufgefuellt waere ... waere es schon fast 
gut.

von Falk B. (falk)


Lesenswert?

Hmmm, nächstes Problem. Deine IRF7401 sind N-Kanal MOSFETs. Damit kann 
man nicht so einfach +5V schalten. Dazu nimmt man P-Kanal MOSFETs, z.B. 
IRF7104. Und dann bitte Source an +5V und Drain als Schaltausgang, nicht 
so wie jetzt im Schaltplan.

von Reinhard Kern (Gast)


Lesenswert?

Paul Baumann schrieb:
> Einen Rat habe ich noch: Geile Dich lieber weiter an den Bildformaten
> auf, darin hast Du Übung.

Ist mir bisher entgangen, im Gegensatz zu Falks lächerlichen Kreuzzügen 
gegen die Existenz jeglicher HF-Erscheinungen. Das erinnert mich an 
Zeiten, als es wissenschaftlicher Konsens war, dass es in Deutschland 
keine Tornados gibt, und die wissenschaftliche Begründung wurde gleich 
mitgeliefert: wegen der Topologie Deutschlands wären Wirbelstürme völlig 
unmöglich. Wie man inzwischen weiss, ist das genauso abgrundtiefer 
Blödsinn wie Falks Einlassungen zur HS-Technik.

Bewiesen ist damit nur die Erfahrungstatsache, dass sich neue 
Erkenntnisse nicht durch Einsicht durchsetzen, sondern nur durch das 
Aussterben derer, die die falschen Ansichten vertreten. Es besteht also 
schon noch Hoffnung.

Dumm nur, dass es nicht wirklich nur zum Lachen ist, als Ratschlag an 
die Fragesteller, auch noch mit der Autorität eines MODs, sind solche 
Aussagen völlig unverantwortlich. Auch Nikolay ist nicht geholfen, wenn 
man ihm beweist, dass sein Problem ganz einfach nicht existiert. Aber 
daran wird sich nichts mehr ändern, höchstens Falks Altersstarrsinn wird 
noch weiter zunehmen.

Gruss Reinhard

von Nikolaj S. (nikolaj22)


Lesenswert?

Falk Brunner schrieb:
> Hmmm, nächstes Problem. Deine IRF7401 sind N-Kanal MOSFETs. Damit kann
> man nicht so einfach +5V schalten. Dazu nimmt man P-Kanal MOSFETs, z.B.
> IRF7104. Und dann bitte Source an +5V und Drain als Schaltausgang, nicht
> so wie jetzt im Schaltplan.

ok danke ich werde mich mal darum kümmern :)

Aber ich kann meine Anode sehr gut steuern mit 74HCT273 und IRF7401. 
Aber 74ACT573 immer noch nicht :(.

von Dietrich L. (dietrichl)


Lesenswert?

Bonz, Prass & Prozz schrieb:
> Wenn die Unterseite mit GND aufgefuellt waere ... waere es schon fast
> gut.

Da stimme ich Dir voll zu! Denn besonders die schnellen ACTs lassen die 
dünnen GND-Leitungen ganz schön klingeln...

Es lebe das solide GND-Netzwerk!

Gruß Dietrich

von Nikolaj S. (nikolaj22)


Lesenswert?

ich bin Ausgebildete Technische Assistent(Elektronik/Datentechnik).

Aber ich habe mit diesem LEDs CUBE, so viel neu gelernt. Und dafür muss 
ich bei euch danken. Danke schön. :):).

Ich werde neu Schaltung bauen und ich werde alles beachten, was ihr mir 
gesagt. :):):)

von Falk B. (falk)


Lesenswert?

@  Reinhard Kern (Firma: RK elektronik GmbH) (rk-elektronik)

>Ist mir bisher entgangen, im Gegensatz zu Falks lächerlichen Kreuzzügen
>gegen die Existenz jeglicher HF-Erscheinungen.

Ist mir was entgangen? Machst du wieder Schattenboxen?

>unmöglich. Wie man inzwischen weiss, ist das genauso abgrundtiefer
>Blödsinn wie Falks Einlassungen zur HS-Technik.

Im Gegensatz zu dir liefere ich ab und an mal ein paar handfeste 
Messungen etc. Papier ist geduldig, Forumsbeiträge erst recht.
Und dass ich mich gegen HF-Technick stelle, wäre mir neu.
Allerdings plapper ich nicht jeden halbgaren Unsinn einfach nach und 
mach nicht aus jeder Mücke einen HF-Elefanten.

>Dumm nur, dass es nicht wirklich nur zum Lachen ist, als Ratschlag an
>die Fragesteller, auch noch mit der Autorität eines MODs, sind solche
>Aussagen völlig unverantwortlich.

Wirr deiner Rede Sinn gar ist.

>daran wird sich nichts mehr ändern, höchstens Falks Altersstarrsinn wird
>noch weiter zunehmen.

Und vor allem, weil DU ja immer so solide zur Problemlösung beiträgst!!!

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>ich bin Ausgebildete Technische Assistent(Elektronik/Datentechnik).

Besser als nix.

>Aber ich habe mit diesem LEDs CUBE, so viel neu gelernt.

Was denn zum Beispiel?

>Ich werde neu Schaltung bauen und ich werde alles beachten, was ihr mir
>gesagt. :):):)

Mach erstmal den Draht rein und schau wie es läuft, im Idealfall mit 
einem Oszilloskop. Dann kann man über eine neue Schaltung nachdenken.

von Nikolaj S. (nikolaj22)


Lesenswert?

Falk Brunner schrieb:
> @  Nikolaj Stepannikov (nikolaj22)
>
>>ich bin Ausgebildete Technische Assistent(Elektronik/Datentechnik).
>
> Besser als nix.
>
>>Aber ich habe mit diesem LEDs CUBE, so viel neu gelernt.
>
> Was denn zum Beispiel?

1). Platinenherstellung
2). Schieberegister(in Praxis)
3). externe Speicher
4). unterschiedliche Porterweiterungen
5). xMega
6). übungen bei Problemen lösungen
...

:)
in meinem erste Cube ich habe alles mit Decoder versucht. :)

ich habe Draht schön rein gemacht, aber ohne erfolg :(

74HCT273 funktioniert bei mir Fehlerfrei. Und bei 74ACT573 ist überall 
immer  H oder L. :(

von Wilhelm F. (Gast)


Lesenswert?

Nikolaj Stepannikov schrieb:

> 74HCT273 funktioniert bei mir Fehlerfrei. Und bei 74ACT573 ist überall
> immer  H oder L. :(

Der 74273 ist kein 74573. Steuerst du die richtig an? Der 74573 ist ein 
auf 8-bit-Busse optimierter 74373, weil alle Inputs und Outputs in einer 
Reihe liegen.

von Nikolaj S. (nikolaj22)


Lesenswert?

Wilhelm Ferkes schrieb:
> Nikolaj Stepannikov schrieb:
>
>> 74HCT273 funktioniert bei mir Fehlerfrei. Und bei 74ACT573 ist überall
>> immer  H oder L. :(
>
> Der 74273 ist kein 74573. Steuerst du die richtig an? Der 74573 ist ein
> auf 8-bit-Busse optimierter 74373, weil alle Inputs und Outputs in einer
> Reihe liegen.

ich habe aus datenblatt richtig ausgelesen, wie man 74HCT273 steuert :)

von Wilhelm F. (Gast)


Lesenswert?

Nikolaj Stepannikov schrieb:

> ich habe aus datenblatt richtig ausgelesen, wie man 74HCT273 steuert :)

Na hoffentlich auch, wie man 74573 steuert.

von Eddy C. (chrisi)


Lesenswert?

@Nikolaj

Bevor Du alles neu aufbaust, würde ich probeweise die ACT573 durch 
HCT573 ersetzen.

@Paul

Was die Geschichte mit den 10kOhm soll, bleibt weiterhin ein Rätsel. 
Wenn da keine Erklärung mitkommt, führt das dazu, daß der 
"Weiterentwickelte" in Zukunft wahllos Widerstände auf seiner 
Leiterplatte verteilt: "Meier! Wozu die Widerstände?" - "Keine Ahnung 
Chef, mir war so danach."

von Nikolaj S. (nikolaj22)


Lesenswert?

Wilhelm Ferkes schrieb:
> Nikolaj Stepannikov schrieb:
>
>> ich habe aus datenblatt richtig ausgelesen, wie man 74HCT273 steuert :)
>
> Na hoffentlich auch, wie man 74573 steuert.

hm... und bei 74act573 ich bin nicht sicher, weil das nicht funktioniert

bsp...
PORTC.OUTSET = 0x00; // alle LE pin(74ACT573) auf L setzen
PORTE.OUTSET = 0xAA; // hiermit ich lade gewünschte Daten in Register
PORTC.OUTSET = 0x80; // ich lasse Latch daten übernehmen von 
Register.(aber nur für eine von 8)
for(i=0; i<=100; i++); // kurz warten
PORTC.OUTSET = 0x00; // und wieder Latch sperren

ist das so richtig oder?

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>PORTC.OUTSET = 0x00; // alle LE pin(74ACT573) auf L setzen
>PORTE.OUTSET = 0xAA; // hiermit ich lade gewünschte Daten in Register
>PORTC.OUTSET = 0x80; // ich lasse Latch daten übernehmen von
>Register.(aber nur für eine von 8)
>for(i=0; i<=100; i++); // kurz warten

Vergiss sowas schnell wieder. Je nach Compilereinstellung fliegt diese 
Schleife nämlich komplett raus. BESTENFALLS gibt es fertige 
VErzögerungsfunktionen wie _delay oder so.

>PORTC.OUTSET = 0x00; // und wieder Latch sperren

>ist das so richtig oder?

Im Prinzip ja, praktisch braucht man da aber kaum eine Pause, weil die 
Latches deutlich schneller sind als dein Prozessor per Software sie 
ansteuern kann. Um rauszufinden was los ist, wäre ein Oszilloskop sehr 
nützlich. Zur Nit muss man sich halt ohne vortasten. Z.B. mit einzelnen 
Bits, die HIGH oder LOW sind, dann sieht man ggf ein. Fehlersystem.

von Wilhelm F. (Gast)


Lesenswert?

Falk Brunner schrieb:

> Im Prinzip ja, praktisch braucht man da aber kaum eine Pause,

Mach mal Delayschleifen in die Software. Das hilft der Fehlersuche.

von hp-freund (Gast)


Lesenswert?

Ich würde auch erst mal ein paar LEDs am PortC mit einer grossen 
Zeitschleife ansteuern um zu sehen was am Port überhaupt passiert.

von Nikolaj S. (nikolaj22)


Lesenswert?

Nikolaj
> bsp...
> PORTC.OUTSET = 0x00; // alle LE pin(74ACT573) auf L setzen
> PORTE.OUTSET = 0xAA; // hiermit ich lade gewünschte Daten in Register
> PORTC.OUTSET = 0x80; // ich lasse Latch daten übernehmen von
> Register.(aber nur für eine von 8)
> for(i=0; i<=100; i++); // kurz warten
> PORTC.OUTSET = 0x00; // und wieder Latch sperren
>
> ist das so richtig oder?

ich habe Funktion verwechselt sorry...

PORTC.OUT = 0x00; // alle LE pin(74ACT573) auf L setzen
PORTE.OUT = 0xAA; // hiermit ich lade gewünschte Daten in Register
PORTC.OUT = 0x80; // ich lasse Latch daten übernehmen von Register.(aber 
nur für eine von 8)
PORTC.OUT = 0x00; // und wieder Latch sperren

von Nikolaj S. (nikolaj22)


Lesenswert?

Ok ich werde mal versuchen, danke! :)

von Paul Baumann (Gast)


Lesenswert?

Eddy schrub:
>Was die Geschichte mit den 10kOhm soll, bleibt weiterhin ein Rätsel.

Hier gibt es eine Erklärung dafür:
http://www.fairchildsemi.com/an/AN/AN-368.pdf

Daß die Fehlfunktion HIER auch am Programm liegen könnte, konnte ich zu
dem Zeitpunkt nicht ahnen.

MfG Paul

von hp-freund (Gast)


Lesenswert?

Ich kenne die atxmegas nicht, aber hier:

http://www.avrfreaks.net/index.php?name=PNphpBB2&file=viewtopic&p=813432

ist die Port initialisierung (langer Codeabschnitt) beschrieben.

Die einfache Methode:

int main(void) {
   PORTD_DIR = 0xFF;
   while (1) {
     PORTD_OUT = 0xAA;
     _delay_ms(100);
     PORTD_OUT = 0x55;
     _delay_ms(100);
   }
}

von Falk B. (falk)


Lesenswert?

Noch was. Klemm erstmal LEDs direkt an deine Steckverbinder und an 
Masse, damit kannst du deine Latches testen. Die Matrix als Ganzes geht 
wegen der falschen MOSFETs sowieso nicht.

von Nikolaj S. (nikolaj22)


Lesenswert?

Falk Brunner schrieb:
> Noch was. Klemm erstmal LEDs direkt an deine Steckverbinder und an
> Masse, damit kannst du deine Latches testen. Die Matrix als Ganzes geht
> wegen der falschen MOSFETs sowieso nicht.

danke

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Hallo,

Wegen sehr viele Fehler, ich habe entschieden neu Schaltung aufzubauen.

In neu Schaltung ich habe Spannungsunterschiede rausgenommen(3V3).
Als Mikrocontroller ich habe ATMega32-16PU genommen.

Warum?

weil ich habe mit Atmega32, schon viele Projekte gemacht.

ich habe auf alles beachtet war ihr mir gesagt.

und jetzt ich bitte euch mein Schaltung überprüfen, bevor ich Layout 
mache.

mit freundlichen grüßen

von Jack (Gast)


Lesenswert?

Paul Baumann schrieb:
> Unnötige Artikel belasten das Netz nämlich ähnlich stark,
> wie zu große Bilddateien!

Würden die Mitmenschen, die ohnehin nichts fundierteres dazu beitragen 
können und/oder möchten, als Beschimpfungen und Katastropengeheule 
abzusondern, wenigstens ihre eigenen Finger von diesen Artikeln und 
Dateien lassen und auf den Download verzichten, wäre bereits die meiste 
Netz- und Serverlast gerettet.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>      led_cube.png
>      44,4 KB, 6 Downloads

>Wegen sehr viele Fehler, ich habe entschieden neu Schaltung aufzubauen.

kann man machen, aber vorher solltest du die bestehende Schaltung noch 
testweise zum Laufen bringen, so weit wie es sinnvoll und möglich ist. 
Das gibt zwar ein Drahtverhau, das ist aber normal in der 
Entwicklungsphase.

>und jetzt ich bitte euch mein Schaltung überprüfen, bevor ich Layout
>mache.

Naja, im wesentlichen ist der Schaltplan OK. Aber hast du mal gerechnet, 
ob deine Treiber genügend Strom bringen? Ich hab da meine Zweifel. Siehe 
LED-Matrix.

von Dietrich L. (dietrichl)


Lesenswert?

Nikolaj Stepannikov schrieb:
> und jetzt ich bitte euch mein Schaltung überprüfen, bevor ich Layout
> mache.

Der IRFR9024 ist für 5V-Ansteueurung nicht geeignet. Du brauchst einen, 
der mit niedriger Vgs zufrieden ist, z.B. IRF7220 oder IRLML6401 (habe 
ich allerdings bzgl. Strom und Verlustleistung nicht durchgerechnet).

Ich wiederhole noch mal meinen Hinweis: achte beim Layout auf soliden 
GND!
Wenn es wegen einseitiger Leiterplatte nicht geht, sehe wenigstens 
Drahtbrücken für z.B. 1mm-Draht vor.

Gruß Dietrich

von Dietrich L. (dietrichl)


Lesenswert?

Noch etwas zum Layout: eine Trennung der Versorgungswege für 
"Einspeisung", "Leistung" (LEDs) und "Steuerung" (µC) ist sinnvoll.

Das heißt bei Deiner Schaltung:

- Leistung: Vcc der MOSFETs und GND der 74ACT573 gehen an den Ausgang 
des Spannungsreglers. Dabei sollte die Länge des GND kurz und dick sein, 
d.h. der Regler ist am besten in der Nähe der 74ACT573.

- Steuerung: Vcc und GND der restlichen Schaltung gehen getrennt und 
treffen sich mit den Vcc und GND der "Leistung" direkt am Ausgang des 
Spannungsreglers.

- Einspeisung: der GND von SL1 geht auch direkt an GND des 
Spannungsreglers.

D.h. am Spannungsregler treffen sich die Strompfade sternförmig.

Am besten und einfachsten ist natürlich eine 2-seitige Leiterplatte mit 
einer Seite komplett als GND-Layer (statt GND-Stern), aber Vcc-Trennung 
wie oben beschrieben.

Gruß Dietrich

von Nikolaj S. (nikolaj22)


Lesenswert?

danke :)

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Hallo,

ich wünsche euch allen ein schönes neues Jahr :).

ich bin jetzt fertig mit neu Layout. :)

Ich habe versucht, alle eure Punkte beachten.

Das ist ein 2-seitige Layout.
 Ich will alle LE -Leitungen mit isolierte draht ziehen, damit 
Leitungslänge minimieren.

Hab ich alles richtig gemacht?

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

> Ich will alle LE -Leitungen mit isolierte draht ziehen, damit
>Leitungslänge minimieren.

Davoin sprach niemand, ist auch nicht nötig. Die Masse muss nah an die 
LEs!

>Hab ich alles richtig gemacht?

Poste die Eagle Dateien, da kann man besser die Signale anschauen.

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Falk Brunner schrieb:
> Davoin sprach niemand, ist auch nicht nötig. Die Masse muss nah an die
> LEs!

 ich habe etwas verbessert :)
:) Danke!

>>Hab ich alles richtig gemacht?
>
> Poste die Eagle Dateien, da kann man besser die Signale anschauen.

:)

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>    * preview image for led_cube_layout_ver.2.png

Schon besser, aber immer noch nicht gut.

- layoute die LE Leitungen SOLIDE, genauso wie die anderen Leitungen
- wenn man am Ende auf RATSNEST clickt, muss unten in der Leiste stehen 
"Nichts zu tun", d.h. es gibt keinerlei Luftlinie mehr. Wenn doch, 
Luftlinien SOLIDE layouten.
- eine dicke Masseleitung reicht, diese Doppelleitung bringt nix.

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Falk Brunner schrieb:
> @  Nikolaj Stepannikov (nikolaj22)
>
>>    * preview image for led_cube_layout_ver.2.png
>
> Schon besser, aber immer noch nicht gut.
>
> - layoute die LE Leitungen SOLIDE, genauso wie die anderen Leitungen
> - wenn man am Ende auf RATSNEST clickt, muss unten in der Leiste stehen
> "Nichts zu tun", d.h. es gibt keinerlei Luftlinie mehr. Wenn doch,
> Luftlinien SOLIDE layouten.
> - eine dicke Masseleitung reicht, diese Doppelleitung bringt nix.

ok. Und so? Ich habe dieses Idee schon früher gehabt. Aber...

von Falk B. (falk)


Lesenswert?

Die LE Leitungen sind immer noch Schrott! Mach es RICHTIG!

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Falk Brunner schrieb:
> Die LE Leitungen sind immer noch Schrott! Mach es RICHTIG!

so?

von Falk B. (falk)


Lesenswert?

Ja. Aber was soll die wilde Mischung mit Bauteilen oben und unten? Du 
hast genug Platz, um alles auf der Oberseite zu platzieren.

von Peter D. (peda)


Angehängte Dateien:

Lesenswert?

Die Frage ist allerdings, braucht man wirklich die schnelle parallele 
Ansteuerung?

Ich nehme lieber 74HC595 kaskadiert, da ist das Layout erheblich 
einfacher (siehe Bild). Da gibt es auch Leistungstreiber (TPIC6B595).

Und wenn man einen ATmega324 nimmt, kann man eine der UARTs als SPI 
nehmen und dann immer 16Bit auf einmal reinschreiben und die 
Übertragungszeit (32 CPU-Zyklen) anderweitig nutzen.


Peter

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Peter Dannegger schrieb:
> Ich nehme lieber 74HC595 kaskadiert, da ist das Layout erheblich
> einfacher.

Alles muss so stimmen oder?

> Und wenn man einen ATmega324 nimmt, kann man eine der UARTs als SPI
> nehmen und dann immer 16Bit auf einmal reinschreiben und die
> Übertragungszeit (32 CPU-Zyklen) anderweitig nutzen.

Ich habe sehr viele ATmega32, deswegen wollte ich gern bei ATmega32 
bleiben.
16 Bit auf einmal reinschreiben vereinfacht nur Programm oder?

:)

von Peter D. (peda)


Lesenswert?

Dann würde ich aber das SPI dazu benutzen.

Und der RCK kriegt nen Pullup, damits beim Programmieren nicht flackert.
Besser noch /G (mit Pullup) mit an den MC, da die Register beim Poweron 
zufällig stehen.
Mit /G an einem PWM-Pin kann man auch die Helligkeit ändern.

Und GND als Polygon Plane.


Peter

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Es ist besser so oder?

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>Es ist besser so oder?

Hast du denn endlich mal ausgerechnet, wieviel Strom für deine LEDs in 
der Schaltung verfügbar ist? Ich glaube, das ist nicht do viel, denn die 
Schieberegister können nur wenig Strom liefern. Siehe LED-Matrix.
Besser sind hier TPIC6B595.

von Nikolaj S. (nikolaj22)


Lesenswert?

INenn: Nennstrom der LEDs(blau 5mm): 20mA
N: Multiplexverhältnis (Zeilen): 1:8
S: Spalten in der Matrix: 8

Izeil = Inenn * N = 20mA * 8 = 160mA
Ispl = Inenn  N  S = 20mA  8  8 = 1280mA

ist das so richtig?

wenn ja, dann 74hc595 zu schwach ist, weil der 74HC595 kann laut 
Datenblatt einen Strom von 25mA pro Ausgang liefern.

dann besser ist,wenn ich 74hc595 durch TPIC6B595 ersetze?

von (prx) A. K. (prx)


Lesenswert?

Nikolaj Stepannikov schrieb:
> wenn ja, dann 74hc595 zu schwach ist, weil der 74HC595 kann laut
> Datenblatt einen Strom von 25mA pro Ausgang liefern.

Das ist das Maximum, was pro Pin fliessen darf.
Nicht notwendigerweise das, was auch fliessen wird.

Zur Charakteristik der Ausgänge von 74HC(T) siehe Seite 34 von:
http://ics.nxp.com/support/documents/logic/pdf/user.guide.hcmos.pdf

> dann besser ist,wenn ich 74hc595 durch TPIC6B595 ersetze?

Ja.

von Falk B. (falk)


Lesenswert?

@  Nikolaj Stepannikov (nikolaj22)

>INenn: Nennstrom der LEDs(blau 5mm): 20mA
>N: Multiplexverhältnis (Zeilen): 1:8

Verkraften deine blauen LEDs 160mA Pulsstrom? Gerade blaue LEDs sind oft 
nicht sonderlich für sowas geeignet.

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

danke.

Es ist besser jetzt oder?

von Nikolaj S. (nikolaj22)


Lesenswert?

Falk Brunner schrieb:
> @  Nikolaj Stepannikov (nikolaj22)
>
>>INenn: Nennstrom der LEDs(blau 5mm): 20mA
>>N: Multiplexverhältnis (Zeilen): 1:8
>
> Verkraften deine blauen LEDs 160mA Pulsstrom? Gerade blaue LEDs sind oft
> nicht sonderlich für sowas geeignet.

hm...

Mein LED-Cube ist schön zusammengelötet. Und ich habe damit schon viel 
getestet, aber leider funktionierte er damals nicht ganz korrekt.

von Peter D. (peda)


Lesenswert?

Nikolaj Stepannikov schrieb:
> dann besser ist,wenn ich 74hc595 durch TPIC6B595 ersetze?

Hängt von der Schaltung, der kann nämlich nur low liefern (open drain).

Möglich ist, TPIC6B595 als Zeilentreiber (low) und 74hc595 als 
Spaltentreiber (high).


Peter

von Nikolaj S. (nikolaj22)


Lesenswert?

Peter Dannegger schrieb:
> Nikolaj Stepannikov schrieb:
>> dann besser ist,wenn ich 74hc595 durch TPIC6B595 ersetze?
>
> Hängt von der Schaltung, der kann nämlich nur low liefern (open drain).
>
> Möglich ist, TPIC6B595 als Zeilentreiber (low).

ich habe das gemerkt.

Ich will irf7220 lassen.

Ich habe davon 16 stück :) Danke schön!!! :)

von Nikolaj S. (nikolaj22)


Angehängte Dateien:

Lesenswert?

Wie würdet ihr mein Layout benoten? von 1 bis 6 :)

von Falk B. (falk)


Lesenswert?

3.

Die Freien Flächen im Polygon kann man vermeinden, indem man an einigen 
Stellen VIAs setzt oder eine Leitung auf die andere Platinenseite 
verlegt.
Sollte man machen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.