Forum: FPGA, VHDL & Co. Xilinx USB schematic jetzt verfügbar


von Antti Lukats (Gast)


Lesenswert?

direkt von Xilinx:)

http://www.xilinx.com/support/answers/33028.htm

schematic seite 14 da ist es

Antti

von Christian R. (supachris)


Lesenswert?

Interessant. Wird die CPLD Firmware auch beim Anmelden an den PC runter 
geladen? Oder muss der zumindest einmal vorher was drin haben?

von André R. (andrer) Benutzerseite


Lesenswert?

sehr geil, danke für die info.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@Antti Lukats

danke ! gut aufgepasst.


Christian R. schrieb:
> Interessant. Wird die CPLD Firmware auch beim Anmelden an den PC runter
> geladen? Oder muss der zumindest einmal vorher was drin haben?

eigentlich ja, kannst aber ins eeprom packen,
die sollte eigentlich hier sein /Xilinx/ISE/data/

Die xusb_xlp.hex scheint vom layout zu passen.

von Uwe Bonnes (Gast)


Lesenswert?

Das ist die FX2 Firmware. Aber auch der Xc2C256 will programmiert 
sein...

von John-eric K. (mockup)


Lesenswert?

Ist nicht aber auch noch interessant was in den beiden Eproms drinnen 
ist auf Seite 15?

Der U13 ist ja anscheinend für den Cypress USB-Controller und der U6 um 
eine Seriennummer zu speichern.

von Christian R. (supachris)


Lesenswert?

Naja, im Prinzip ist das alles schön und gut, aber der Nachbau-Aufwand 
ist so hoch, dass man gleich das fertige funktionierende Gerät für 185€ 
kaufen kann. Alleine der Coolrunner II kostet ja einiges....wenn man 
professionell mit den Dingern arbeiten will, sind die 185€ kein Problem, 
für Hobby tuts XC3SProg oder ähnliches.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Uwe Bonnes schrieb:
> Das ist die FX2 Firmware. Aber auch der Xc2C256 will programmiert
> sein...

falsch Uwe, xusb_xlp.fmwr ist bitstream für coolrunner.

Ob nachbau lohnt ? Naja, XC2C256-7VQG100C kostet 15 eur, 
CY7C68013A-100AXC auch 15 eur, kleinkram 5 eur.

Für profis sowieso uninteressant, für hobby bereich denke schon - ich 
will jetzt nicht übertrieben aber z.b. dsl modem von pollin für 2 eur 
und schon hat man den EZ-USB ( sollte auch gehen statt FX2 ). Eine 
QFP100 smd/2.54 adapter platinne von futurlec.com für 1 eur, coolrunner 
für 15 eur und schon hat man günstiger als manches LPT platform cable 
nachbau - dafür aber usb.

von Bingo (Gast)


Lesenswert?

@Thomas R
Welche dsl modem ist das ?

Hast du einer url ?

mfg
Bingo Dänemark

von Christian R. (supachris)


Lesenswert?

Naja, die Frage ist, ob ein leeres CPLD auch erkannt und programmiert 
wird. Desweiteren weiß man nicht, was in den EEPROMS steckt. Kann ja mal 
jemand ausprobieren....

von John-eric K. (mockup)


Lesenswert?

Sagt mal ist das das gleiche USB-JTAG-Interface wie auf den Digilent 
Spartan 3E  Board?
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,423&Prod=S3EBOARD

von Christian R. (supachris)


Lesenswert?

John-eric K. schrieb:
> Sagt mal ist das das gleiche USB-JTAG-Interface wie auf den Digilent
> Spartan 3E  Board?
> http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,400,423&Prod=S3EBOARD

Sehr wahrscheinlich.

von John-eric K. (mockup)


Lesenswert?

Also das eine ist ein Seriennummern-Rom U6 DS2411 per 1-wire
http://www.alldatasheet.com/datasheet-pdf/pdf/83820/DALLAS/DS2411.html
Anscheinend gibt es da keine gleichen Seriennummern oder Xilinx lässt 
sich die vielleicht mit einer bestimmten Nummer herstellen.

Das 2te ist ein 128Bit I2C Eprom U13.
in den eigentlich nur die USB PID und VID Platz finden
http://www.alldatasheet.com/datasheet-pdf/pdf/74877/MICROCHIP/24LC00T-SN.html
Die stehen ja auch im Xilinx-Blatt.

Laut Datenblatt vom Cypress-Controller Seite 4
http://download.cypress.com.edgesuite.net/design_resources/datasheets/contents/cy7c68013a_8.pdf
steht da die VID/PID/DID drinne
wobei DID die CHIP revision ist.
Er kann sein Programm auch aus einem Eprom per I2C laden.
Aber es ist ja kein weiterer Eprom am Bus.
Also bekommt der CY7C68013A im TQ100 sein Programm per USB
somit könnte er auch den CPLD Flashen.
Den Inhalt des CPLDs hat Xilinx bestimmt geschützt, weswegen sie es auch 
nicht auslesen können. Aber man kann ja eine Nummer vergeben beim 
Flashen, vielleicht muss die als einzige drinnen sein, oder ihm ist das 
egal.

Gruß
John-Eric

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Bingo schrieb:
> @Thomas R
> Welche dsl modem ist das ?
>
> Hast du einer url ?
>
> mfg
> Bingo Dänemark

da gibts nur eins, wie hiem beschrieben

Beitrag "bastel DSL-Modem bei Pollin"


Zum thema Coolrunner bitstream - habe kleines experiment gemacht - mit 
dem Diligent Spartan 3E board.

Dazu habe über den zweiten jtag port den coolrunner versucht auszulesen, 
natürlich protected aber nicht empty. So habe ich es erased und war 
danach war er auch empty. Board wieder über usb angeschlossen, impact 
gestartet, chain scanned - und natürlich war der usb jtag hin.

Advanced USB Cable Setup im output menu gestartet - und schon kann die 
meldung die firmware upgedated werden muss - anscheinend ist empty 
coolrunner = zu alt.

Nach dem ich update gemacht habe läuft der coolrunner wieder, der usb 
jtag natürlich auch. Habe wieder über den zweiten jtag geprüft - 
coolrunner ist wieder programmiert und protected.

Also kann jeder einen empty coolrunner ans FX2 anschliessen ( allerdings 
muss eeprom  mit device id auch da sein ), impact starten und "firmware 
updaten" um einen voll funktionsfähigen usb jtag zu bekommen.

Ich hoffe das hilft denjenigen die angst hatten vom den nachbau.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

eeprom selber ist zimlich einfach :

  Manufacturer="XILINX "
  Product="XILINX    "
  SerialNumber=""
  Configurations="1"
  MaxPacketSize="64"
  VendorID="03 FD"
  ProductID="00 08"
  Class="00h"
  SubClass="00h"
  Protocol="00h"
  BcdDevice="00 00"
  BcdUSB="02 00"

daher reich ein eeprom mir :
C0 FD 03 08 00 01 00 01

damit impact denkt es ist ein Xilinx usb jtag device - habe gerade mein 
FX2 dev board unter impact soweit gehabt das er firmware updaten wollte.

Ich habe leider kein zweites Coolrunner hier, werde mal eins beim 
Darisus bestellen - 15,470 EUR sind fair.

Ich denke ein nicht-integriertest usb jtag wird mir nicht schaden und 
meine docking station etwas entlassten ( nur noch da ist LPT vorhanden 
... )

von John-eric K. (mockup)


Lesenswert?

Na dann weiß ich ja, das ich mir mal ein Layout basteln werde.
den cypress gibt es bei farnell für 15, aber der coolruner ist da sehr 
teuer.
Mal schauen.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Na dann weiß ich ja, das ich mir mal ein Layout basteln werde.
> den cypress gibt es bei farnell für 15, aber der coolruner ist da sehr
> teuer.
> Mal schauen.

http://darisusgmbh.de/shop/product_info.php?info=p28254_XC2C256-7VQG100C-----CoolRunner-II-CPLD-256MCELL--VQFP100.html

da gibts für 15 eur

von John-eric K. (mockup)


Lesenswert?

Ja gerade schon gesehen.
Endlich kann ich dann auch am Lappi Programmieren. :-)

Schade das die den cypress-Kontroller nicht haben im TQ100.
Nur 56 SSOP.

Der einzige der alles hat ist digikey
Best.-Nr.  Bezeichnung  Package    Preis
428-2263-ND  CY7C68013A  TQ100    15.14
122-1402-ND  XC2C256    VQ100    9,78
DS2411P+-ND  DS2411    6-TSOC    1,26
24LC00/SN-ND  24LC00-SN  SO8    0,22

von Thomas R. (tinman) Benutzerseite


Lesenswert?

ach und noch etwas, habe gerade den schaltplan genau mit dem Spartan 3E 
Digilent board verglichen ( durchgeklingelt ) - es gibt paar kleine 
unterschiede :

- R/C haben andere nummer was aber egal ist
- FX2 pin 86 ist 4.7k pullup auf 3.3V, an sonsten gar nix ( kein DS2411 
)
- eeprom hat VID_03FD&PID_000D ( C0 FD 03 0D 00 00 00 00 FF FF FF FF FF 
FF FF FF )

Das entspricht auch firmware xusb_xlp.hex und xusb_xlp.fmwr - das ist 
auch "Xilinx Embedded Platform USB Firmware Loader". Man kann auch 
andere PID nehmen um entsprechend 'mehr' funktionalität haben ( siehe 
xusbdrvr.inf ) z.b. "Xilinx Platform Cable USB II Firmware Loader" ... 
allerdings bitte nciht machen, da dies nicht funktionieren wird - 
Platform Cable USB II hat einen Spartan 3 und keinen coolrunner drin :)

- 1.8V für coolrunner werden mit dem LTC1844 und nciht LTM4616 ( wie auf 
dem schaltplan ) erzeugt.
- es gibt kein U14 - Power-on Reset, bzw FX2 #reset (pin 77) hängt an 
0815 R/C reset schaltung.

So, das wars im prinzip. Habe nochmal ein FX2 dev board genommen, 
diesmal mit VID_03FD&PID_000D programmiert, und Impact benimmt sich 
genau so wie bei dem Digilent board als der coolrunner empty war, tjo, 
also alles funktioniert.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Ja gerade schon gesehen.
> Endlich kann ich dann auch am Lappi Programmieren. :-)
>
> Schade das die den cypress-Kontroller nicht haben im TQ100.
> Nur 56 SSOP.
>
> Der einzige der alles hat ist digikey
> Best.-Nr.  Bezeichnung  Package    Preis
> 428-2263-ND  CY7C68013A  TQ100    15.14
> 122-1402-ND  XC2C256    VQ100    9,78
> DS2411P+-ND  DS2411    6-TSOC    1,26
> 24LC00/SN-ND  24LC00-SN  SO8    0,22

ja digikey geht natürlich auch. Den DS2411 brauchst du eigentlich nicht, 
der wird nur benötigt bei dem Spartan-6 SP601 Evaluation Kit, aber gut, 
kannst kaufen und später, falls impact die firmware haben wird, auch 
benutzen.

von Wolfgang R. (portside)


Lesenswert?

OK, aber so einfach gehts dann doch nicht.

Was will man bauen DLC9 Clone oder USB Anschluss für Board?
Klar ist die USB ProductId verschiedener XILINX Producte unterscheiden 
sich.
DLC9 000f DLC10 0013. 000D scheint das Digilent Spartan 3E zu sein.
Je nach ID wird andere Firmware in den EZUSB geladen.
Auflistung z.B. in http://www.kip.uni-heidelberg.de/~hengel/usbcable.php 
.

Für ein USB Platform Cable braucht man noch Datentreiber, Empfänger etc 
mit Anpassung der JTAG Spannung. Vorliegendes Beispiel taugt nur als 
Boardanschluss.

Das Xilinx DS300.pdf Datenblatt schildert näheres. Was mir noch fehlt 
ist ein CPLD Ausgang der die Tri-State Treiber aktiviert.

Damit taucht hier die Frage auf ob bei verschiedenen ProductId's der 
CPLD mit anderer Firmware programmiert wird???

Weitere Recherchen ergeben dass Xilinx einen XC2C256-6VQ100C verwendet 
und diesen gibts in ganz Europa nicht. Ob das ganze mit langsameren 
XC2C256-7VQ100C rennt ist ungewiss.
Was ist auf dem Diligent Spartan 3E board verbaut.

Im Netz gibts schon länger eine Doku vom Kabel z.B.
http://www.antti-brain.com/xil_jtag.pdf
Antti weiss eventuell mehr. Was allerdings stört ist, dass in 
xil_jtag.pdf die Anschlüsse 57-64 am EZUSB zum CPLD in umgekehrter 
Reihenfolge als in vorliegendem Schaltplan angeschlossen sind. In der 
Quellen zu xup main.c sind Anschlüsse wie hier dokumentiert.
Aber das Projekt verwendet ja auch Spartan3E Starter Kit.
Bleibt also zu klären wie siehts beim DLC9 aus mit Tristate Treiber 
enable und den 8 Leitungen aus. Hat jemand sowas zu ausmessen??

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfgang Rapp schrieb:
> OK, aber so einfach gehts dann doch nicht.
>
> Was will man bauen DLC9 Clone oder USB Anschluss für Board?

jein, mehr ein "Xilinx Embedded Platform USB Cable", die DLC9/10 haben 
einen Spartan wenn ich richtig in erinnerung habe - firmware bzw 
bitstream deutet auch dafür.

> .
>
> Für ein USB Platform Cable braucht man noch Datentreiber, Empfänger etc
> mit Anpassung der JTAG Spannung. Vorliegendes Beispiel taugt nur als
> Boardanschluss.

ja, kann sein, mir persönlich reicht 1.5V bis 3.3V - mit serien R's 5V, 
das reicht sagen wir für so ca. 100% alle Xilinx FPGAs die ich bezahlen 
kann - dazu noch über USB und nicht LPT.

>
> Das Xilinx DS300.pdf Datenblatt schildert näheres. Was mir noch fehlt
> ist ein CPLD Ausgang der die Tri-State Treiber aktiviert.

bin ich nicht ganz sicher ob die firmware den CPLD nicht 3-state 
betreibt, müsste man testen, immerhin wenn der board nicht über usb 
angeschlossen wird kann ich auf den chain mit anderen kabel zugreifen 
ohne das da irgendwelche störungen aufkommen - und nien, ich hab nicht 
versucht mit beiden gleichzeitig :)

>
> Damit taucht hier die Frage auf ob bei verschiedenen ProductId's der
> CPLD mit anderer Firmware programmiert wird???
>

ja, es wird. Habe das heute getestet, bis auf die FPGA-based varianten 
natürlich.


> Weitere Recherchen ergeben dass Xilinx einen XC2C256-6VQ100C verwendet
> und diesen gibts in ganz Europa nicht. Ob das ganze mit langsameren
> XC2C256-7VQ100C rennt ist ungewiss.
> Was ist auf dem Diligent Spartan 3E board verbaut.

Auf dem board ist -6 drauf, sobald ich die -7 habe werde mal testen. Bin 
überfragt ob ein -7 zu langsam ist, ich denke aber nciht da max JTAG 
freq 33 mhz ist und der -7 kann 100+ mhz.

>
> Im Netz gibts schon länger eine Doku vom Kabel z.B.
> http://www.antti-brain.com/xil_jtag.pdf
> Antti weiss eventuell mehr. Was allerdings stört ist, dass in
> xil_jtag.pdf die Anschlüsse 57-64 am EZUSB zum CPLD in umgekehrter
> Reihenfolge als in vorliegendem Schaltplan angeschlossen sind.

diese pdf war mir nicht bekannt, scheint auch nciht komplett zu sein, so 
als ob jemand versucht hätte den schlatplan anhand vom board zu zeichnen 
?

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:

?
> > jein, mehr ein "Xilinx Embedded Platform USB Cable", die DLC9/10 haben
> einen Spartan wenn ich richtig in erinnerung habe - firmware bzw
> bitstream deutet auch dafür.
DLC9 ist das alte nicht mehr verkaufte Kabel mit Coolrunner. DLC10 das 
neue hochpreisige mit Spartan

>
>> .

>
>>
>> Damit taucht hier die Frage auf ob bei verschiedenen ProductId's der
>> CPLD mit anderer Firmware programmiert wird???
>>
>
> ja, es wird. Habe das heute getestet, bis auf die FPGA-based varianten
> natürlich.

Hab mich etwas missverständlich ausgedrückt. Frage ist ob die 
verschiedenen EZUSB Firmware Versionen auch den CPLD anders 
programmieren.

>
>

>> Im Netz gibts schon länger eine Doku vom Kabel z.B.
>> http://www.antti-brain.com/xil_jtag.pdf
>> Antti weiss eventuell mehr. Was allerdings stört ist, dass in
>> xil_jtag.pdf die Anschlüsse 57-64 am EZUSB zum CPLD in umgekehrter
>> Reihenfolge als in vorliegendem Schaltplan angeschlossen sind.
>
> diese pdf war mir nicht bekannt, scheint auch nciht komplett zu sein, so
> als ob jemand versucht hätte den schlatplan anhand vom board zu zeichnen
> ?
Als Quelle steht Digilent drauf eher eine Seite aus Digilent Doku die 
sonst in deren offiziellen Doku absichtlich fehlt, aber EZUSB Teil 
unvollständig.

von John-eric K. (mockup)


Lesenswert?

Jetzt hab ich aber mal ne Frage?
Was kann ich mit dem Spartan3 Ding den mehr, als mit dem Coolrunner?
Ja klar da passt mehr rein. Aber das sollte Xilinx doch in ihren Chips 
standardisiert haben, oder nicht?
Also was kann das andere ding mehr.

Man könnte ja auch nen Pegelwandler hinterher schalten.

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Wolfgang Rapp schrieb:
>
> Hab mich etwas missverständlich ausgedrückt. Frage ist ob die
> verschiedenen EZUSB Firmware Versionen auch den CPLD anders
> programmieren.
>

ja, es ist so. Siehe anhang.

Die .hex sind die firmwares für EZUSB/FX2. Die sind alle 
unterschiedlich, kann funktionsumfang oder/und pinout/layout sein.

Dazugehörigen cpld/fpga bitstreams tragen .fmwr endung.
Die bitstreams mit der groesse 90076 byte sind alle bit-gleich.

Also sind "Platform Cable USB" und "Embedded Platform USB" was CPLD 
angeht gleich - nur EZUSB hat anderes firmware. Von der firmware groesse 
sind die fast gleich ( also der S3E digilent board und DLC9 ), vom 
inhalt einige stellen sind unterschiedlich - siehe anhang disassembled 
firmware.

DLC10 ist da natürlich total anders, genau so wie der multiprogrammer, 
die stehen aber wegen den extra Spartan nicht zu debate/nachbau.


>>> Im Netz gibts schon länger eine Doku vom Kabel z.B.
>>> http://www.antti-brain.com/xil_jtag.pdf
>>> Antti weiss eventuell mehr. Was allerdings stört ist, dass in
>>> xil_jtag.pdf die Anschlüsse 57-64 am EZUSB zum CPLD in umgekehrter
>>> Reihenfolge als in vorliegendem Schaltplan angeschlossen sind.
>>
>> diese pdf war mir nicht bekannt, scheint auch nciht komplett zu sein, so
>> als ob jemand versucht hätte den schlatplan anhand vom board zu zeichnen
>> ?
> Als Quelle steht Digilent drauf eher eine Seite aus Digilent Doku die
> sonst in deren offiziellen Doku absichtlich fehlt, aber EZUSB Teil
> unvollständig.

Digilent hat auch eigenes usb jtag cable - quelle kann also deren cable 
sein oder ein anderes board - auf jeden fall nciht S3E.

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

und hier noch di firmware disassembled.

und hier noch was Xilinx sagt ... ds593.pdf
"
Platform Cable USB II contains a Xilinx Spartan-3A FPGA
with an in-system programmable Xilinx XCF02S PROM.
Each time a design tool establishes a connection with the
cable, the firmware version stored in the PROM is examined.
The PROM is automatically reprogrammed over the cable if
the firmware version is out of date
"
und in ds300.pdf

"
Platform Cable USB is a RAM-based product. Application
code is downloaded each time the cable is detected by the
host operating system.
Platform Cable USB also contains an embedded in-circuit
programmable CPLD. Each time a Xilinx application is
invoked, the firmware version for the CPLD is examined.
The CPLD is automatically reprogrammed over the cable if
the firmware version is out of date
"

daher wie schon ober gesagt interessant vom preis/leistung ist nur der 
"Platform Cable USB" bzw "Embedded Platform USB"

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Jetzt hab ich aber mal ne Frage?
> Was kann ich mit dem Spartan3 Ding den mehr, als mit dem Coolrunner?
> Ja klar da passt mehr rein. Aber das sollte Xilinx doch in ihren Chips
> standardisiert haben, oder nicht?
> Also was kann das andere ding mehr.
>


du meinst in dem cable ?

Vielleich das hier beantwortet deine frage :

Furthermore, Platform Cable USB II is a cost effective tool for 
debugging
embedded software and firmware when used with
applications such as Xilinx's Embedded Development Kit
and ChipScope Pro Analyzer.


> Man könnte ja auch nen Pegelwandler hinterher schalten.

Pegelwandler weniegr, die CPLDs können von 1.5V bis 3.3V vom haus, für 
5V und natürlich für längere kabel einen treiber ic wird man schon 
gebrauchen können.

von John-eric K. (mockup)


Lesenswert?

Ach so, also ist mit dem Neuen auch Debugging möglich.
OK. Mir geht es erstmal darum einen USB-Programmer zu haben.

Habe gerade mal angefangen den CPLD in eine Eagle Libery zu packen.

von Christian R. (supachris)


Lesenswert?

Naja, Debuggen ging schon mit dem Parallel Cable IV und EDK. Zwar nicht 
schnell und nicht stabil, aber es ging. ChipScope hatte ich damals noch 
keine Lizenz, denkee aber mal, das ging damit auch schon.

von Antti Lukats (Gast)


Lesenswert?

haha :)

das "alte" plan ist von einer russischen forum
den ich fur monitoring besuche, kann schon sein
ist falsh/alt aber chinesen und russen haben
den x-usb cable schon langst nachgebaut ohne probleme

Antti

von Antti Lukats (Gast)


Lesenswert?

kurz frage

in Platform Cable-II ist nicht CPLD sonder ein s3a drinne
ist es aber wirklich bestatigt? habe noch kein usb-II gesehen

Antti
PS es mehr interessant den cable-II nach bauen :)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Antti Lukats schrieb:
> haha :)
>
> das "alte" plan ist von einer russischen forum
> den ich fur monitoring besuche, kann schon sein
> ist falsh/alt aber chinesen und russen haben
> den x-usb cable schon langst nachgebaut ohne probleme
>
> Antti

joa, kann sein, wie ich gesagt habe, es kann anderes board oder der 
digilent eigenes cable sein - den habe ich nie gesehen also vielleicht 
auch irre ich mich.

Das chinesen nachgebaut haben ist auch eigentlich normall, habe schon 
diverse nachbauten beim taobao.com gesehen, habe allerdings nie drauf 
geachtet ob Xilinx usb cable auch - hast aber recht, da gibts jede menge 
davon ( anhang ) und die scheinen auch FX2/CLII haben.

Bei dem "alten" plan fehlen einfach alle ezusb komponenten, kann aber 
sein das absicht war ( use default ), und wie gesagt, kann eine andere 
version sein - es gibt immerhin 5 varianten davon.



> in Platform Cable-II ist nicht CPLD sonder ein s3a drinne
> ist es aber wirklich bestatigt? habe noch kein usb-II gesehen
>
> Antti
> PS es mehr interessant den cable-II nach bauen :)


gesehen von innen noch nicht - aber wie du sehen kannst steht in der 
xilinx doku und auch die firmware ist vom tag, size und bezeichnung für 
einen fpga.

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

... anhang hier

von Uwe Bonnes (Gast)


Lesenswert?

Doch, ich habe mal unseren DLC10 Adapter aufgeschraubt, da ist ein 
XC3SAN drinnen. Ausserdem kann man die interne Kette nicht wie in urjtag 
als xpc-int ansprechen.

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

So Schaltplan ist schon mal in Eagle drinnen.
Aber das Layout zwischen dem CPLD und dem FX2 sieht ehrlich doof aus.

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

John-eric K. schrieb:
> So Schaltplan ist schon mal in Eagle drinnen.
> Aber das Layout zwischen dem CPLD und dem FX2 sieht ehrlich doof aus.

also wenn du es gut machen möchtest dann pack einen jumper auf die SDA 
vom FX2 zum eeprom, so kann man ohne eeprom den FX2 in der ez-usb 
console programmieren.

Reset schaltung schadet auch nicht - ohne switch also R + C and pin 77

So wie anhang ( pin nummer sind anders weil es 128pin schaltplan 
ausschnit ist )

von John-eric K. (mockup)


Lesenswert?

Mir ging es erstmal darum zu schauen wie das mit dem Layout aussieht,
Also erstmal alles etwas sortiert.

Habe das mit dem Reset und dem Eprom mit rein genommen.

Gruß John-Eric

von Bingo (Gast)


Lesenswert?

Ich habe einer Zetex (ebay.de - schaltplan)  board wo die eeprom juper 
selects einer andere i2c adresse (als die Cypress default).
Dann kann man die jumper setzen (so die Cypress nicht sehe die eeprom) , 
und dann kann man die Cypress sehe/programmiere , als default.

Im Cypress default mode , kann mann einer program laden. Das die eeprom 
am die neue adresse sehe und kann programmiere neues inhalt. Ich brauche 
das zum Cypress ID veranderen.

mfg
Bingo Dänemark
Nur 2 jahre deutch im schule :-) ...

von Bingo (Gast)


Lesenswert?


von Thomas R. (tinman) Benutzerseite


Lesenswert?

Bingo schrieb:
> Ich habe einer Zetex (ebay.de - schaltplan)  board wo die eeprom juper
> selects einer andere i2c adresse (als die Cypress default).
> Dann kann man die jumper setzen (so die Cypress nicht sehe die eeprom) ,
> und dann kann man die Cypress sehe/programmiere , als default.
>
> Im Cypress default mode , kann mann einer program laden. Das die eeprom
> am die neue adresse sehe und kann programmiere neues inhalt. Ich brauche
> das zum Cypress ID veranderen.
>
> mfg
> Bingo Dänemark
> Nur 2 jahre deutch im schule :-) ...

Bingo,

yep, i know this board and it will definitely work as a Xilinx Platform 
Cable, however still the CPLD XC2C256-VQ100 need to be connected via the 
CON2 port.

The second one board - with FPGA - will not work as Platform Cable II 
due the fact that the bitstream is for different Spartan (3AN but the 
ebay board is 3) family ( and probably anyway connected to 'wrong' I/Os' 
).

I have tested with similar board :

http://cgi.ebay.com/ws/eBayISAPI.dll?ViewItem&item=380132294394

The 'firmware' for the i2c eprom ( .iic ) is very simple, you will need 
only to enter in hex editor C0 FD 03 08 00 01 00 01 and fill the rest 
with FF.

von Bingo (Gast)


Lesenswert?


von Wolfgang R. (portside)


Lesenswert?

I don't think that this will work because this is a 144Pin Coolrunner 
Device and the Xilinx CPLD Bitfiles are for 100Pin Device.

Levelshifter may be necesary and also input protection. As I read is 
Coolrunner  very sensitiv if a input will have some signal level from 
outside while Coolrunner is not powered. In this case Coolrunner may 
die.
You don't need this in a embedded solution where all is powered from one 
source

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfgang Rapp schrieb:
> I don't think that this will work because this is a 144Pin Coolrunner
> Device and the Xilinx CPLD Bitfiles are for 100Pin Device.
>

this is good question, for FPGA within same family but with different 
pin count it will work, and as Xilinx have for same device family exact 
the same device IDs it might also work for CPLD.

All you have to do is to compare the the pinpouts ,marcocells and 
function blocks from both devices - see ds094.pdf

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:
> ... anhang hier

xusb.png
Kurze Frage. Ist das Foto von Original oder China Nachbau?
Cable I oder II?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfgang Rapp schrieb:
> Thomas R. schrieb:
>> ... anhang hier
>
> xusb.png
> Kurze Frage. Ist das Foto von Original oder China Nachbau?
> Cable I oder II?

Cable I, China nachbau.


Was den Cable II angeht, wundert mich etwas die aussage von Uwe Bonnes, 
vielleicht hat Xilinx zwei revisionen davon - wenn das wirklich 3AN ist 
wozu dann der XCF02S ?

von Uwe Bonnes (Gast)


Lesenswert?

Bitte nagel mit nicht fest, ob es ein A oder AN ist. Habe das Teil 
gerade verliehen, kann nicht nachschauen.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Für Cable I gibts jeweils eine fmwr file:

die CPLD idcode in den bitstreams (fmwr) sind
xxxx110110101001111000010010011 bzw x6D4F093 was xc2c256_die_generic 
entspricht - kann also sein das ein TQ144 statt VQ100 angenommen wird.

Für den Cable II gibts jeweils zwei files:

die fpga_xp2.fmwr oder fpga_xse.fmwr haben idcode 
xxxx0010001000011000000010010011 bzw x2218093 was dem Spartan 3A 
xc3s200a_ft256 entspricht.

die andere files xusb_xp2.fmwr oder xusb_xse.fmwr haben idcode
xxxx0101000001000101000010010011 bzw x5045093 was dem xcf02s_vo20 
entspricht.

So gesehen bei der firmwares die beim ISE 10.1 dabei sind ist der Cable 
II S3A und nicht S3AN basierend. Durch die BGA gehäuse wird evt. nachbau 
sehr lustig.

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:


> Durch die BGA gehäuse wird evt. nachbau sehr lustig.

Dann wird man so ein Teil wohl mal Röntgen müssen. Wollte schon immer 
mal sehen wie BGA Lötstellen im Röntgenbild kontrolliert werden.

von Christian R. (supachris)


Lesenswert?

Wolfgang Rapp schrieb:
> Thomas R. schrieb:
>
>
>> Durch die BGA gehäuse wird evt. nachbau sehr lustig.
>
> Dann wird man so ein Teil wohl mal Röntgen müssen. Wollte schon immer
> mal sehen wie BGA Lötstellen im Röntgenbild kontrolliert werden.

Das machen wir hier öfters. Sieht manchmal grausam aus, die voids in den 
Lötstellen....Aber den Nachbau dann mit BGA usw. also das lohnt ja dann 
wirklich gar nicht mehr.
Dann eher das USB Cable I nachbauen...

von Antti Lukats (Gast)


Lesenswert?

na ich sollte mein CPLD decompiler nur ein bishen verbessern,
dann konnte man das cpld jedec ins vhdl und zuruck in beliebiges fpga

Antti

von Wolfgang R. (portside)


Lesenswert?

Wenn ich die Plazierung der Bauteile im Bild xusb.png von Thomas R. 
ansehe und dazu die
Schaltpläne heranziehe, dann meine ich dass ein Routing der Platine nur 
Sinn macht wenn die Verbindungen
vom EZUSB-> CPLD
  57-> 30
  58-> 29
  59-> 19
  60-> 18
         .
         .
  64-> 14
sind wie in http://www.antti-brain.com/xil_jtag.pdf. Also ungleich der 
embedded Pläne.
Bei dieser Anordnung von EZUSB und CPLD lässt sich dies ohne Kreuzung 
verlegen die Anschlüsse liegen dann fast gegenüber.

Hat jemand einen Clone oder Original und könnte nur diese Leitungen mal 
ausmessen.
Dann ist auch klar warum das Kabel andere Firmware als embedded 
verwendet.
Wenn dies klar ist dann kann ein Cable I kommen.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Antti Lukats schrieb:
> na ich sollte mein CPLD decompiler nur ein bishen verbessern,
> dann konnte man das cpld jedec ins vhdl und zuruck in beliebiges fpga
>
> Antti

interessant, dein decompiler geht aber nicht für fpga, damit wäre eine 
nicht BGA S3A variante ( für Cable II ) doch nciht möglich, oder doch ?

von Antti Lukats (Gast)


Lesenswert?

ne es reicht ja den PLD zu dekompilieren
und den VHDL dann in irgendwas rein (FPGA..)

Antti

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Wolfgang Rapp schrieb:
> Wenn ich die Plazierung der Bauteile im Bild xusb.png von Thomas R.
> ansehe und dazu die
> Schaltpläne heranziehe, dann meine ich dass ein Routing der Platine nur
> Sinn macht wenn die Verbindungen
> vom EZUSB-> CPLD
>   57-> 30
>   58-> 29
>   59-> 19
>   60-> 18
>          .
>          .
>   64-> 14
> sind wie in http://www.antti-brain.com/xil_jtag.pdf. Also ungleich der
> embedded Pläne.
> Bei dieser Anordnung von EZUSB und CPLD lässt sich dies ohne Kreuzung
> verlegen die Anschlüsse liegen dann fast gegenüber.
>
> Hat jemand einen Clone oder Original und könnte nur diese Leitungen mal
> ausmessen.
> Dann ist auch klar warum das Kabel andere Firmware als embedded
> verwendet.
> Wenn dies klar ist dann kann ein Cable I kommen.

Mittlerweile habe den Coolrunner II bekommen und den Cable nachgebaut.

Um beide hardware varianten zu testen habe 10 jumper drauf gepackt, für 
die 8 bekannten 'unterschiede' und zusätzlich für init_in und done_inh 
die bei dem "embedded platform cable" pullup/down haben aber auf dem 
xil_jtag.pdf nicht.

Um mögliche signal-schwäche fehler auszuschliessen habe noch dazu LV244 
verwendet für jtag-out. Allerdings auch ohne den LV244 ging mein 30cm 
langes kabel - scheint das der coolrunner II doch nciht soo schwach ist.

Test ergebnisse sind allerdings seltsam, solange die jumper so stehen 
das ich einen "embeded platform cable" habe, funktioniert auch alles.

Die variante aus dem "xil_jtag.pdf" funktioniert allerdings nicht. Beim 
jeden start kommt die warnung das Firmware alt ist - nach dem update 
wieder gleiche fehler meldungen - als ob die firmware nciht 
einprogrammiert wäre. Allerdings coolrunner ist nach so einen versuch 
nciht mehr empty - sprich etwas ist da reinprogrammier, aber nciht das 
was solte.

Wenn ich die jumper auf 'embedded' umstelle und den cable zwinge den 
treiber und die firmware von dem 'nicht embedded' zu nehmen funktioniert 
auch die hardware - sprich trotz 'falscher' firmware keine probleme, 
sobald ich die jumper umstelle geht aber nicht.


Getestet habe ich mit 6mhz clock.

Fazit :

Embedded platform cable geht - auch mit xc2c256-7C und sehr ungünstigen 
'layout'.

Platform USB wie auf dem xil_jtag.pdf geht nicht - vielleicht kann 
jemand in einem echten Platform USB mal prüfen, vielleicht ist 
xil_jtag.pdf  einfach falsch.

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:

>
> Die variante aus dem "xil_jtag.pdf" funktioniert allerdings nicht. Beim
> jeden start kommt die warnung das Firmware alt ist - nach dem update
> wieder gleiche fehler meldungen - als ob die firmware nciht
> einprogrammiert wäre. Allerdings coolrunner ist nach so einen versuch
> nciht mehr empty - sprich etwas ist da reinprogrammier, aber nciht das
> was solte.

>
> Wenn ich die jumper auf 'embedded' umstelle und den cable zwinge den
> treiber und die firmware von dem 'nicht embedded' zu nehmen funktioniert
> auch die hardware - sprich trotz 'falscher' firmware keine probleme,
> sobald ich die jumper umstelle geht aber nicht.

Das heißt dann doch dass der CPLD über die internen JTAG vom EZUSB 
richtig programmiert wurde nur der Datenverkehr klappt nicht. 
"xil_jtag.pdf" doch falsch. Frei nach Shakespeare 'A original, a 
original, my kingdom for a original!' zum nachmessen.

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

So ich habe mal ein bisschen Zeit gefunden.
soll da dann noch ein Level-Konverter drauf?
Welche Spannungsversorgung? hat einer einen Vorschlag?
Brauchen ja 2, einmal die 1,8 und die 3,3V.
Gruß
John

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

sieht nett aus.

Als buffer sind im original die NC7SZ125. Habe noch paar infos gesammelt 
:

Xilinx Platform Cable USB DLC9LP
IC List (reversed by top marks):

U1  - DS2411 Silicon Serial Number
U2  - LT1719S6 3V/5V Comparator
U3  - MAX6412UK26-T uP Reset Circuit
U4  - CY7C68013A-100AXC uP with USB
U5  - XC2C256-VQ100CMS Xilinx CPLD
U6  - LMV393MM Dual Comparator
U7  - NC7SZ125 3-State Single UHS Buffer
U8  - NC7SZ125 3-State Single UHS Buffer
U9  - 24LC00 128-Bit I2C Serial EEPROM
U10 - NC7SZ125 3-State Single UHS Buffer
U11 - NC7SZ125 3-State Single UHS Buffer
U12 - NC7SZ66 UHS SPST bus switch
U13 - LT1521CST-3.3 300mA 3.3V LDO Regulator
U14 - LT3023EDD Dual Adjustable LDO Regulator

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

die 1.8 brauchen wir sowieso, ich habe persönlich den TPS71718DCKT dafür 
genommen. Für 3.3V habe den MIC2920A-33. Die entscheidung war sehr 
einfach - ich habe mal 'auf vorrat' stangenweise eingekauft.


Was die buffer angeht, es kann auch sehr einfach ohne diese ganze logik 
wie in dem original DLC9G mit z.b. 74LV244 von NXP.

Der geht ab 1.0V bis 5.5V, also der kann an VREF angeschlossen werden.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@Antti Lukats

was DLC10 nachbau geht ist es reine "bauteilen verschwendung" - siehe 
bild - aber den kennst du schon doch, oder ?

Als Xilinx den DLC10 gebaut hat , gabs den S3A 200 nur als BGA, jetzt 
gibts den auch als VQFP 100 -> XC3S200A-4VQG100C, mit etwas glück werden 
die pins die benötigt sind auch beschaltbar sein ...

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

hmm, anhang nciht angenommen

von John-eric K. (mockup)


Lesenswert?

Hast du die Originalen zuhause? scheint ja so.

Also ich habe bis jetzt den Schaltplan aus dem Spartan 6 PDF übernommen.
Wenn ich jetzt aber deinen Schaltplan ausschnitt sehe, hast du davon den 
ganzen Schaltplan?
Weil hier ist ja auch schon eine Änderung drinnen.
Pin 28 am CPLD
Pin 69 am Cypress Chip

Was übernehme ich den nun?
Oder Frage ich mal anders,
Bei deinem Fliegendem Aufbau,
was hast du da verwendet.
Komme grad leicht durcheinander mit den vielen Versionen.
Diese Rückführungen da.
Ich gehe mal davon aus, das wir diese nicht brauchen, oder?

John

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Hast du die Originalen zuhause? scheint ja so.

nein, habe ich nicht, hab nur etwas im netzt (china,russland) gesucht.


> Also ich habe bis jetzt den Schaltplan aus dem Spartan 6 PDF übernommen.
> Wenn ich jetzt aber deinen Schaltplan ausschnitt sehe, hast du davon den
> ganzen Schaltplan?

leider nicht.

> Weil hier ist ja auch schon eine Änderung drinnen.
> Pin 28 am CPLD
> Pin 69 am Cypress Chip
>

Pin 69 am Cypress Chip ist vref detect, man kann inteligent lösen - wie 
in dem original schaltplan, oder aber auch mit einem pullup ans VCC 3.3

Pin 28 am CPLD ist init_in, genau das selbe, inteligent oder pullup.


>
> Was übernehme ich den nun?

Mein vorschlag - die teile nehmen nciht wirklich viel platz, so schadet 
die nciht im layout zu haben, sollte etwas nicht funktionieren wird 
einfach pullup gelötet. Leider es fehlen paar original R werte für den 
U6 (LVM393), man kann es aber entsprechend anpassen - oder fault sein 
und pullup löten.

> Oder Frage ich mal anders,
> Bei deinem Fliegendem Aufbau,
> was hast du da verwendet.

Ich habe 74LV244 benutzt - da hatte ich den schaltplan vom den original 
buffern/logik nicht gehabt.
Die NC7SZ125 haben tPD 3ns, da braucht der 74LV244 3 mal so viel zeit. 
Dafür geht LV244 vom 1.2V schon stabil.

Im original ist inteligent gelöst - die buffer sind hochohmig solange 
buffer_oe ( Pin 61 am CPLD, Pin 72 Cypress ) die nicht eingeschaltet 
hat. Bei mir war "dauer an". Klar, es geht auch mit dem LV244 eine 
inteligente lösung, dann müssen nur die R21, R20, Q1 vom original statt 
dem pullup wie beim Spartan6 board ( Pin 61 am CPLD, Pin 72 Cypress ) 
genommen werden. Hier fehlen wieder die werte, ist aber nciht so 
schlimm. Wieder - notfalls oder falls jemand es nciht will, einfach 
pullup an die leitung und pulldown an OE vom den buffer ics.


> Diese Rückführungen da.
> Ich gehe mal davon aus, das wir diese nicht brauchen, oder?
>

welche rückführungen ? Du mienst die buffer_oe, init_in und vref detect 
oder ? Wie gerade gesagt, je nach dem wie es gelöst wird man kann die 
wohl benutzen/gebrauchen - oder nur mit pullups/down lösen.

Persönlich würde ich schon versuchen so weit wie möglich original DLC9G 
nachbauen - es fehlen aber paar R und Q daten, ohne den wird die ganze 
VREF/INIT/TDO logik nicht funktionieren.

Wie gesagt:
für init logik (U6:B, U7) geht auch 2 x pullup
für vref logik (U6:A, U12, Q2, Q3) geht auch pullup/diode kombination
für TDI/TCK/TMS buffer geht LV244 statt SZ125
für TDO geht auch LV244 statt den LT1719
Buffer_OE statt Q1/R20/R21 geht auch pullup für leitung, pulldown für 
SZ125 oder LV244 OE

Macht man beide möglichkeiten auf einem board, kann jeder selbst 
entscheiden was er will - abhängig vom preis, zeit, notwendikeit, 
verfügbarkeit, platz genug ist da auf den 85x50mm.

Da du den layout macht ist die entscheidung die deine :)

Was sagen die profis hier ?

von John-eric K. (mockup)


Lesenswert?

Ich meinte den Chip U6a/B also die beiden oberen

andere Fragen
USB-gespeist Ja/Nein

Den gleichen Stecker wie im Artikel über JTAG
http://www.mikrocontroller.net/articles/JTAG#Anschlussbelegung
oder einfach eine Stiftleiste?

Ich frage mich gerade wofür die INIT Leitung ist?
Braucht man die?

Man müsste mal schauen wo man die Bauteile bekommt.

Ich schaue mir mal die beiden Treiber an.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Ich meinte den Chip U6a/B also die beiden oberen

ja, steht auch on meiner beschriebung :)
>
> andere Fragen
> USB-gespeist Ja/Nein

JA , auf jeden fall.

>
> Den gleichen Stecker wie im Artikel über JTAG
> http://www.mikrocontroller.net/articles/JTAG#Anschlussbelegung
> oder einfach eine Stiftleiste?
>
> Ich frage mich gerade wofür die INIT Leitung ist?
> Braucht man die?
>

Laut http://direct.xilinx.com/bvdocs/publications/ds300.pdf
ist INIT nur wichtig für serialslave config mode.


Da steht auch etwa über die buffer - Figure 24 - da sind die fehlenden R 
werte, super.

Stecker pin belegung am besten wie original DLC9G, steht in dem 
DS300.pdf auch.

von John-eric K. (mockup)


Lesenswert?

OK, ich übernehme erst einmal die Ein/Ausgangsbeschaltung aus deinem 
Bild.
Ich denke mal, dass es das Beste wäre.

Der eine OPV U2 könnte ja auch durch einen weiteren lmv393 ersetzt 
werden, oder?
Dann braucht man nicht so viele unterschiedliche bestellen.
Außerdem ist der U2 mit 4Euro bei Farnell recht teuer.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Statt LT1719S6 kannst den LT1719CS6 oder auch den TLV3501 vom TI nehmen. 
Die sind compatible, auch pinout (in der sot23-6 gehäuse nur !).

LT1719CS6 oder TLV3501 beim digikey kosten 2.90eur, gibts auch beim 
mouser, farnell ist natürlich extrem.

Der LMV393 ist zu langsam, es ist die TDO line, die geht schon bis 
24MHz. Mit dem LMV393 sind dann 1.5 , vielleicht sogar 3 MHz nur 
möglich.

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

OK, Überzeug.
Hab nicht dran gedacht.
So, kann ja mal einer drüber schauen und mit
Beitrag "Re: Xilinx USB schenatic jetzt veffugbar"
vergleichen ob ich das so Richtig übernommen habe
und auch die Transistoren richtig gewählt habe.

Bei der Buffer_OE Leitung, im originalem Schaltplan von Xilinx ist da ja 
nur ein Pullup dranne. Und in dem Ausschnitt sitzt da ein FET mit nem 
Pulldown Widerstand.
Soll der Pullup da auch noch hin?

Ich bin am überlegen die Stützkondensatoren auf 0603 zu ändern,
momentan sind die noch alle 0805. Jemand ein Problem damit?
Dann bekomm ich wahrscheinlich ein bisschen mehr Platz.

Gruß John

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Buffer_OE hat sich erledigt.
Der Ist im Originalem ja auch auf GND Potential.

Fehler bei den Transitoren auch behoben.

von John-eric K. (mockup)


Lesenswert?

Habe mal ne kleine HP mit den Bildern der Schaltpläne + Layout gemacht.
Dann brauch ich nicht andauernd alles Anhängen, sondern kann einfach 
drauf verweisen.
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/


Also als Spannungsregler hab ich den TPS71718 für die 1,8 und den 
MCP18S25S-33 für die 3,3V.

Wenn ich mir das Original anschaue, dann hat der ja sogar 3 Spannungen.
2x die 3,3V.
Wahrscheinlich einmal für den Cypress und der Schaltet den Rest dann an 
würde ich sagen, weil der ja auch ein Shutdown Eingang hat.
Was sagst du dazu Thomas, brauchen wir das?

Gute Nacht
John

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@John-eric K.

tjoa, urlaub war kurz , zu kurz, aber back zum topic.

Original hat 1.8V core für CPLD, 3.3V I/O für CPLD und 3.3V für FX2.

Der MCP1825S geht bis 500mA , wird also reichen. Es ist natürlich 
schöner separate vcc io zu haben, aber es geht auch ohne.

Im original wird anscheinend ( die shdn pins sind nciht mit vin 
verbunden)die cpld core und i/o zugeschaltet, vielleicht nach dem reset 
? oder die eins von den FX2 pins ? Für uns uninteressant, 100% clone 
brauchen wir nicht.

So ganz am rande, im original brennt oft der doppel LDO durch (der für 
CPLD spannung zuständig ist), auch der LT1719S6 neigt hin und wieder 
abzufackeln.

von Andreas (Gast)


Lesenswert?

Hallo John-eric und Thomas R.,
Ich lese den Thread aus Interesse mit und bin auf John´s Frage nach den 
2*3,3V Reglern und dem ShutDown.

Der Sinn liegt im Einhalten der USB-Spec im Hinblick auf die 
Stromaufnahme.
Im Unkonfigurierten Zustand darf die gesamte USB-Baugruppe nur einige 
hundert uA ziehen.
Nächster Schritt ist dann die konfigurierte Baugruppe deren Verbrauch 
100mA nicht überschreiten darf.
Erst wenn die USB-Baugruppe einen höheren Strombedarf anmeldet und 
genehmigt bekommt, dürfen bis zu 500mA gezogen werden.

So viel zum USB-Standart.
aus eigener Erfahrung sind die 100uA unkritisch, wenn da nicht mehr als 
100mA gezogen werden, dürfte noch nichts passieren.
Die nächste Hürde sind der Mehrverbrauch jenseits 100mA.
Die Schaltung von Xilinx dürfte noch aus alten Zeiten stammen, indem der 
UR FX2 auf dem Markt war ( 7C68013 ohne A). Der hat dann bereits fast 
das gesamte Budget selbst aufgefuttert. (Im Highspeedmodus (48MHz) sogar 
etwa 190mA).
Auf "billigen" Mainboards ist das alles kein Thema, da dort relativ 
stark dimensioniere Sicherungen verbaut sind, da können dann 500mA 
gezogen werden.
Auf einigen Markenmainboards (und einigen Markenlaptops), schlägt dann 
allerdings eine aktive Stromüberwachung der Ports an...

Aber ich denke das die FX2 Firmware so geschrieben ist, dass vor einem 
Hochschalten der internen Taktfrequenz und erst recht vor intensiver 
Bedaddelung des CPLDs eine entsprechende Anforderung nach Mehr Strom 
stattfindet...
Im Rahmen eines Bastelprojektes dürfte einem Weglassen des zweiten 
Reglers nichts im Wege stehen.
Einen USB-Aufkleber braucht ihr ja nicht anbringen (und damit eine 
Konformitätszusicherung)

Gruß

Andreas

von John-eric K. (mockup)


Lesenswert?

Ich hab mal eine HP mit den Fotos gemacht:
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/
Dann brauch ich die nicht immer Anhängen.
Das mit dem Extra 3,3V Regler schaue ich mir mal noch einmal an.
vielleicht bekomme ich ja raus, an welchem Pin am FX2 der Shutdown des 
Reglers dranne hängt.

Der TPS7171 hat ja schon einmal einen Enable Eingang.
vielleicht 2 davon rauf, einer als 1,8v und der andere als 3,3v version

Der Originale ist ja der LT3023EDD und laut
http://de.farnell.com/linear-technology/lt3023edd-pbf/ldo-reg-dual-100ma-10dfn/dp/1663548
schafft der 100mA

Der TPS71718 für die 1,8V schafft 150mA
den gibt es bei Farnell aber nicht als 3,3V Version mist.

Die 100nF Stützkondensator hab ich auf 0603 geändert.

Für den FX2 ist der MCP1825S-33
hat aber kein Enable Eingang

noch eine andere Idee?

Gruß John

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

ich habe die meisten i/o pins die in frage kommen mit LA geprüft, finde 
aber kein pin was richtig sinn als "USB-ON" machen würde.

Schön wäre wenn jemand bei dem DLC9G mal "durchklingeln" könnte wo die 
SHDN pins hingehen (rot markiert im anhang).

von Wolfgang R. (portside)


Lesenswert?

> Im Unkonfigurierten Zustand darf die gesamte USB-Baugruppe nur einige
> hundert uA ziehen.
No
> Nächster Schritt ist dann die konfigurierte Baugruppe deren Verbrauch
> 100mA nicht überschreiten darf.

Die 100mA Schwelle gilt wenn keine Enumeration stattgefunden hat, also
der USB Stecker als weitere  Stromversorgung wie bei einige externen 
Festplatten benutzt wird.

> Erst wenn die USB-Baugruppe einen höheren Strombedarf anmeldet und
> genehmigt bekommt, dürfen bis zu 500mA gezogen werden.
>
Der Strombedarf steht beim FX im EEPROM ebenso die ID's. Damit findet 
solange die Hardware in Ordnung ist immer eine Enumeration statt und die 
100mA  Grenze fällt. Wenn ein HUB den Strom nicht zur Verfügung stellen 
kann scheitert die Enumeration.

Die neuen DLC9 Kabel haben 7C68013A und heissen dann DLC9LP, DLC9G und 
brauchen laut DS300.pdf weniger als 100mA.

DS300.pdf schreibt für das alte Kabel:
The legacy DLC9 cable model does not enumerate on hubs with
the 100 mA restriction.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

@Wolfgang

hat recht. Mein nachbau, mit dem CPLD/FX2 und LV244 verbraucht vor 
enumeration 32mA, höchstens 64mA nach dem CPLD/FX2 konfiguriert sind und 
jtag scan läuft.

@John-eric

ich würde sagen wir verzichten auf CPLD VCC/IO abschaltung. Vor allem 
die firmware verhält sich anders wenn CPLD upgedated werden muss, wenn 
nicht programmiert oder wenn einsatzbereit. Sind schon zu viele "if", da 
wir unter 100mA liegen werden ist das unwichtig.

Evt. separate 3.3V versorgung für CPLD und FX2, ist platz/kosten frage.

von John-eric K. (mockup)


Lesenswert?

Na gut, dann lassen wir das weg.

Als LED hab ich SMD0805 genommen.
Andere Vorschläge?

Habe die Platine schon etwas verkleinert.
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/
45x96mm zurzeit.

Ist aber noch nicht alles Fertig.

zumindest hab ich den FX2, CPLD, Seriennummern IC, EPROM schon.
Dadurch kann ich die Packages schon kontrollieren.

Gruß John-Eric

von John-eric K. (mockup)


Lesenswert?

Ist das Normal das der NC7SZ125 als SOT23-5 1,94€ kostet
http://de.farnell.com/fairchild-semiconductor/nc7sz125m5/nc7sz-smd-7sz125-sot23-5-5-5v/dp/1013811

und der gleiche als SC70-5 nur 0,30 kostet
http://de.farnell.com/fairchild-semiconductor/nc7sz125p5x/nc7sz-tiny-smd-sc70-5/dp/1417648

Bin gerade dabei eine Bauteilliste zu erstellen

Layout steht soweit denke ich.
Gruß
John-Eric

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Ist das Normal das der NC7SZ125 als SOT23-5 1,94€ kostet
> 
http://de.farnell.com/fairchild-semiconductor/nc7sz125m5/nc7sz-smd-7sz125-sot23-5-5-5v/dp/1013811
>
> und der gleiche als SC70-5 nur 0,30 kostet
> 
http://de.farnell.com/fairchild-semiconductor/nc7sz125p5x/nc7sz-tiny-smd-sc70-5/dp/1417648
>

das muss niemand verstehen :)


> Bin gerade dabei eine Bauteilliste zu erstellen
>
> Layout steht soweit denke ich.


was denkst du über miniusb ? sind die bilder auf deine site aktuell ?

von John-eric K. (mockup)


Lesenswert?

Ja die Bilder sind bis auf kleine Änderungen(Leiterbahndicke/leicht 
verschoben) aktuell. Ich aktuallisiere die eigentlich gleich wenn ich 
was verändert habe.
Ehrlich gesagt ist mir das egal.
Bis jetzt habe ich auch immer MiniUSB genutzt bei meinen Platinen.

Als SMD oder Durchsteck ?

Bei SMD muss ich eh Durchkontaktieren, da
der Cypress ja auf der anderen Seite ist.
http://www.reichelt.de/?;ACTION=3;LA=444;GROUP=C126;GROUPID=3213;ARTICLE=52001;START=0;SORT=artnr;OFFSET=16;SID=31BIdepawQAR8AAC85XwQc0f08980c87f0fe7f33ac9892e4bfebb
Deswegen würde ich die sonst nehmen.
Die ist auch ein bisschen Sicherer denke ich,
reißt man nicht so schnell ab.

Ich ändere dann die Treiber noch auf die kleiner SC70 Version,
da ja gut 5/6 Billiger als SOT23

von Wolfgang R. (portside)


Lesenswert?

Als Alternative zu Farnell mal mouser.com anschauen. Angeblich bekommt 
man jetzt auch eine Rechnung aus München da Mouser jetzt wohl selbst 
einführt und verzollt.
Beitrag "Digikey Bestellung - mit Dir ohne Versandkosten :)"

Die meisten Preise sind Farnell*0.5 oder so, NC7SZ125M5X im SOT-23 z.B. 
0,16€.
Und kostenfreie Lieferung ab 65€ was bei Farnell ja wieder angeschafft 
ist.

von Antti Lukats (Gast)


Lesenswert?

mini USB ist nicht mehr RECOMMENDED by USB org
nur micro-USB und altes grosses sollten benutzt werden
dh ich wurde ehe den GROSSEN nehmen weil mini NOT RECOMMENDED ist..


fur hoby echt egal, wollte aber doch hinweisen

Antti
PS wenn jemand platinen braucht ich habe beim lokal
PCB fab flat-rate :) fur multipanel ohne zusatz
eagle datein nehme ich nicht gerne (zu viel aufwand)
aber zum not ist eagle auch ok

von John-eric K. (mockup)


Lesenswert?

Hm was haltet ihr von B und mini USB,
mikro ist mir persönlich zu klein.
Ich würde sie versetzt platzieren.

So habe nun das Original. ;-)
Bild von hier passt
Beitrag "Re: Xilinx USB schenatic jetzt veffugbar"
Beitrag "Re: Xilinx USB schenatic jetzt veffugbar"


Also:
=====
Q1  K38 RD(90Grad gedreht)  BSS138
Q2  K38 RD(90Grad gedreht)  BSS138
http://www.diodes.com/datasheets/ds30144.pdf
Q3  K5N P6(90Grad gedreht)  MMST3906
http://www.diodes.com/datasheets/ds30079.pdf

CR1  L9  BAT721C dual cc 40V 200mA schottky
CR2  L9  BAT721C dual cc 40V 200mA schottky
CR3  815 B / RUW (ON Seni.)  keine Ahnung

R20  79C  64,9k
R21  103  10k
R23  105  1M
R25  105  1M
R26  66C  47,5k
R29  79C  64,9k
R31  02D  102k
R32  47D  301k
R56  103  10k
R60  202  2k
R69  103  10k
R70  203  20k
R71  203  20k

Für die Widerstände benutzt:
http://www.marsport.org.uk/smd/res.htm
Für Diode:
http://iwenzo.de/wiki/SMD_Transistor_und_Dioden_Code_Liste_L

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Hm was haltet ihr von B und mini USB,
> mikro ist mir persönlich zu klein.
> Ich würde sie versetzt platzieren.
>
miniusb war nur vorschlag, das org. hat B - persönlich finde ich die B 
gross aber "stabil", mini dafür klein genug aber manchmal problematisch 
mit wackelkontakt.

>
> So habe nun das Original. ;-)
> Bild von hier passt
> Beitrag "Re: Xilinx USB schenatic jetzt veffugbar"
> Beitrag "Re: Xilinx USB schenatic jetzt veffugbar"
>
>

hast du auch etwas zeit ? Dann könntest du die fehlenden puzzle lösen ..
z.b.
U1 - ob genau so beschaltet
U3 - nur FX2 reset oder auch pwr steuerung für U14
U14 - genau, wie wird SHDN angesteuert.

:)

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Thema Reset siehe Anhang
Hängt anscheinend auch nur da drannen.
U14 gleich

für kompletten test U1 muss ich mir bessere Messspitzen besorgen.

Ach so die anderen Nummern entsprechen den Nummern aus dem PDF vom 
Spartan6 Board vom Thread Anfang.

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Der Shutdown Pin von U14 hängt mit R43 an Masse und mit R42 an Pin 70 
von U4 also FX2

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Der Shutdown Pin von U14 hängt mit R43 an Masse und mit R42 an Pin 70
> von U4 also FX2

oh nett, das teste ich gleich in meiner schaltung.

von John-eric K. (mockup)


Lesenswert?

Also nach der zweiten Anmeldung schaltet sich der pinein.
Hast sicherlich auch eine andere VID/PID drinnen oder?
Original Kabel:
===============
VID 03FD
PID 0008

von Thomas R. (tinman) Benutzerseite


Lesenswert?

im reset hat pin70 2.5V, kurz nach dem usb init sind 3.25V, dann wird 
nur kurz nach dem fx2 firmware geladen wird nochmal 0V und wieder 3.25V.

Das selbe beim FX2 firmware update.

Für die auswirkung auf den CPLD brauche ich die R43 und R42 werte, gucke 
mir dann den org. DC wandler und den den ich habe um die SHDN pegeln 
anzupassen. Also wenn du noch kannst sag bitte die werte.

Die verzögerungen habe nicht gemessen, die waren aber definitiv kürzer 
als die zeit die der FX2 braucht um firmware zu laden, so gesehen ist 
der CPLD schon an bevvor FX2 reenumeration macht.

von John-eric K. (mockup)


Lesenswert?

Die Werte stehen im Bild schon drinnen.
10k gegen masse und 2k zum pin70

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Die Werte stehen im Bild schon drinnen.
> 10k gegen masse und 2k zum pin70

autsch, nicht gesehen :(

Also bei dir ist der pin aus vor der reenumeration ? interessant.

von John-eric K. (mockup)


Lesenswert?

Also ich stecke den Stecker rein

pin ist aus
er meldet sich an
pin geht an

er meldet sich ab
pin ist aus

er meldet sich an
pin geht an

Ich denke aber, dass kann weggelassen werden.

Naja vielleicht macht er dies ja bei den unterschiedlichen PID/VIDs 
unterschiedlich?

Wie oben geschrieben hat das Originalkabel
Original Kabel:
===============
VID 03FD
PID 0008

von Thomas R. (tinman) Benutzerseite


Lesenswert?

hmm, schlecht, habe kein anderes 1.8V LDO, der den ich habe kann ich 
vergessen, der braucht für VEN low 0.4V und high 1.2V, das kann ich also 
nicht testen auf die schnelle (nur mit spannungsteiler).

Ich würde sagen entweder vergessen wir den TPS71718DCK ( wegen EN und 
nciht SHDN ) und machen wie im original oder lassen wir wie jetzt ist, 
die entscheidung ist die deine :)

von John-eric K. (mockup)


Lesenswert?

Immer ist das meine Entscheidung :-(

Naja, Ich lasse das wie es ist.
Enable ist auf VCCin und gut.
Wenn wir das Abschaltbar machen wollten,
müsste ich bisschen was ändern
und ein zusätzlicher 3,3V regler müsste auch noch drauf.
Ehrlich gesagt hab ich darauf keine Lust.
würde eher noch den Reset IC mit drauf packen. ;-)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Also ich stecke den Stecker rein
>
> pin ist aus
> er meldet sich an
> pin geht an
>
> er meldet sich ab
> pin ist aus
>
> er meldet sich an
> pin geht an
>
> Ich denke aber, dass kann weggelassen werden.

naja, das ist wegen den usb specs und stromverbrauch, sind aber 
irgendwas von 65mA bei mir gerade, also immer noch unter 100mA - also 
lassen wir.

>
> Naja vielleicht macht er dies ja bei den unterschiedlichen PID/VIDs
> unterschiedlich?
>
> Wie oben geschrieben hat das Originalkabel
> Original Kabel:
> ===============
> VID 03FD
> PID 0008

hab ich auch, hatte schon mit anderen VID getestet, kann aber sein das 
ich die firmwares umbenannt habe :)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

John-eric K. schrieb:
> Immer ist das meine Entscheidung :-(
>
> Naja, Ich lasse das wie es ist.
> Enable ist auf VCCin und gut.
> Wenn wir das Abschaltbar machen wollten,
> müsste ich bisschen was ändern
> und ein zusätzlicher 3,3V regler müsste auch noch drauf.

das dachte ich schon, deswegen dein entscheidung :)

> Ehrlich gesagt hab ich darauf keine Lust.
> würde eher noch den Reset IC mit drauf packen. ;-)

joa, die 10µF/10kohm gehen aber auch.

von John-eric K. (mockup)


Lesenswert?

Joo wird weggelassen und Fertig.

> joa, die 10µF/10kohm gehen aber auch.
Ja das wird ja der Prototyp

Mal schauen ob ich Morgen Zeit und Messspitzen bekommen,
dann mess ich das Board mal durch.

So und Heute
Gute Nacht
John-Eric

von Wolfgang R. (portside)


Lesenswert?

> CR3  815 B / RUW (ON Seni.)  keine Ahnung

Würde mal behaupten hier macht im Schaltplan nur eine Zener Diode Sinn 
als Überspannungsschutz. Zur Z-Diode passt auch der fette 10 Ohm 
Vorwiderstand.

815B Device Marking für On Semi gibt 1SMB5918BT3G im SMA Gehaüse was 
auch zum Bild passt mit 1.5W. Laut Datenblatt 3.9V Zenerspannung.
An 5V JTAG denkt wohl keiner mehr.

von John-eric K. (mockup)


Lesenswert?

Danke

Naja die FPGA's sind 3,3v und tiefer
nur die XC9500 Reihe wäre 5v tolerant,
Die Ausgänge schaffen aber nur 3,9V,
was ich schon schmerzlich erfahren habe.

Mal in den Schaltplan einpflegen.

von John-eric K. (mockup)


Lesenswert?

So, Hab das nun noch einmal durchgeklingelt
und es stimmt soweit alles, bis auf die Reset-Schaltung halt.

von John-eric K. (mockup)


Lesenswert?

Wolfgang Rapp schrieb:
> 815B Device Marking für On Semi gibt 1SMB5918BT3G im SMA Gehaüse was
> auch zum Bild passt mit 1.5W. Laut Datenblatt 3.9V Zenerspannung.
> An 5V JTAG denkt wohl keiner mehr.

Aber die Beschriftung passt doch gar nicht, sehe ich gerade.
http://www.onsemi.com/pub_link/Collateral/1SMB5913BT3-D.PDF
Die sind alle mit 9xx gelabelt.
Meine hier ist aber 815?

Das sieht man hier unten rechts CR3 ganz gut:
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/grafik/DSC04938.jpg

Egal die ist nun drauf.
Es sollte wohl eine Zehner Diode sein ;-)
Hab nun die 1SMB5915BT3G im Schaltplan mit drinnen,
3,9V und gut. Ist zwar 3W aber mein eagle hat kein SMA nur SMB.


Alle Bilder zum Stand
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel

von Thomas R. (tinman) Benutzerseite


Lesenswert?

für mich ist das eine Schottky diode als verpolungsschutz, der R67 soll 
nur strom begrenzen.

von John-eric K. (mockup)


Lesenswert?

Also ich habe es gerade noch einmal nachgemessen!

Es ist definitiv eine 3,9V Zehner Diode.
Bei 5V am Eingang sind nach dem Widerstand 3,9V und er zieht 100mA.

von Wolfgang R. (portside)


Lesenswert?

J
> Aber die Beschriftung passt doch gar nicht, sehe ich gerade.
> http://www.onsemi.com/pub_link/Collateral/1SMB5913BT3-D.PDF
> Die sind alle mit 9xx gelabelt.
> Meine hier ist aber 815?
>
>
Upps die 815B heissen 1SMA5913BT3.
Aber die SMB sind auch kein Fehler

von Jörg W. (dl8dtl) (Moderator) Benutzerseite


Lesenswert?

Bin hier gerade mehr zufällig drüber gestolpert.

Wolfgang Rapp schrieb:

>> Im Unkonfigurierten Zustand darf die gesamte USB-Baugruppe nur einige
>> hundert uA ziehen.
> No

Doch, schon.

>> Nächster Schritt ist dann die konfigurierte Baugruppe deren Verbrauch
>> 100mA nicht überschreiten darf.
>
> Die 100mA Schwelle gilt wenn keine Enumeration stattgefunden hat, also
> der USB Stecker als weitere  Stromversorgung wie bei einige externen
> Festplatten benutzt wird.

Jein.  Ich musste mich da auch neulich eines besseren belehren lassen.
;-)

Du hast zwar das Recht, 100 mA zu ziehen, aber du bist verpflichtet,
innerhalb einer gewissen (nicht sehr langen) Zeit eine enumeration
durchzuführen.  Wenn du nach dem Buchstaben der USB-Spec handelst,
müsstest du beim Fehlschlagen einer solchen deinen Verbrauch auf
maximal 1 mA beschränken.

Dmit soll wohl sichergestellt werden, dass man aus einem schlafenden
Bus nicht dauerhaft zu viel Strom zieht.

In der Praxis wird das kein Host oder Hub so streng implementieren,
aber er dürfte es, bzw. du bist nicht konform mit deinem Gerät und
kannst kein USB-Logo erhalten.

Ist sicher im Rahmen dieses Projekts hier wurscht, ich wollte aber
trotzdem mal drauf hingewiesen haben.

von xilo (Gast)


Lesenswert?

macht ihr noch was und falls nicht wo kann ich die eagle dateien laden ?

von John-eric K. (mockup)


Lesenswert?

Ja mache noch was.
Das Problem ist zurzeit die Leiterplatte machen zu lasse.
Also der Erste Prototyp.
Die brauchen etwas länger.

Ich höre auch nicht auf,
bin ja selber daran interessiert. ;-)

Gruß
John

von norman (Gast)


Lesenswert?

Hi,

ich denke da ist noch ein Fehler in Blatt 3/3 von Eagle-Schema vom 
15.9.09 23:07:28. Das /OE der NC7SZ125 sollte noch ein PULLUP-Widerstand 
gegen +3V3 oder besser V+ haben. Da Q4 nur leiten oder nicht leiten kann 
fehlt sonst ein klares HIGH um den Puffer hochomig zu schalten.

Gruss Norman

von John-eric K. (mockup)


Lesenswert?

@norman
Danke. ist geändert.
Übersehen weil der im Bild gleich daneben war.

Testplatinen kommen in 2Wochen.
Habe zurzeit bisschen Stress mit der Hochschule, da gerade Prüfungen.


Gruß John-Eric

von Frank (Gast)


Lesenswert?

>Testplatinen kommen in 2Wochen.
gibts schon was neues ?

Wieso wird das überhaupt mit einem CPLD gelöst ? Der Aktuelle Xilinx 
programmer hat sogar ein FPGA

Schiesst man da nicht mit kanonen auf spatzen ?

Wieso nicht einfach einen 8 Bit Microkontroller und ein usb Interface, 
dann würde ein Programmer nur noch ein Paar Euro kosten. Der braucht 
zwar dann evtl ein paar sekunden länger, aber was spielt das für eine 
rolle, wenn man eh mehrere minuten auf die synthese warten muss.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Frank schrieb:
>>Testplatinen kommen in 2Wochen.
> gibts schon was neues ?
>
> Wieso wird das überhaupt mit einem CPLD gelöst ? Der Aktuelle Xilinx
> programmer hat sogar ein FPGA

weil FPGA genau so viel kostet wie CPLD und CPLDs aussterben.

>
> Schiesst man da nicht mit kanonen auf spatzen ?

nein, wieso ? Etwas platz für zukunftige erweiterungen schadet nicht.

>
> Wieso nicht einfach einen 8 Bit Microkontroller und ein usb Interface,
> dann würde ein Programmer nur noch ein Paar Euro kosten. Der braucht
> zwar dann evtl ein paar sekunden länger, aber was spielt das für eine
> rolle, wenn man eh mehrere minuten auf die synthese warten muss.

warum soll Xilinx ein µC nehmen wenn die FPGAs bauen ? Wozu abhängig vom 
anderen hersteller sein wenn man fpga know-how im hause hat ? Andere 
hersteller machen genau so.

von John-eric K. (mockup)


Lesenswert?

Und weil man dann das Xilinx Programmiertool verwenden kann, ohne sich 
eine andere Datei erstellen zu müssen(XSVF).

Bauteile sind da.
Platine kommt diese Woche.

von Michael Sauron (Gast)


Lesenswert?

Ruhig ist es hier geworden.
Lebt das Projekt noch ?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Lebt das Projekt noch ?
Mich hat es gewundert, dass es überhaupt geboren wurde...

Wenn man den Xilinx-Nachbau von Digilent für knapp 60 Euronen bekommt, 
dann glaube ich kaum, dass man das selber realistisch billiger 
hinbekommt. Zudem lernt man dabei ausser Löten gar nichts.

von Türsteher (Gast)


Lesenswert?

Ich kenn das Digilent Gerät nicht, aber ich denke mal der vorteil ist, 
dass man Impact verwenden kann.

von Iulius (Gast)


Lesenswert?

Kann man mit dem von Digilent auch.

Der einzige Nachteil an dem Teil ist die extrem aggressive 
Aufwickelautomatik ;)

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Lothar Miller schrieb:
>
> Wenn man den Xilinx-Nachbau von Digilent für knapp 60 Euronen bekommt,

sagen wir so, eigentlich sind 59$ academic pricing, regulär sind es 99$ 
- nur verkaufen mittlerweile einige shops die "acedemic devices" an 
jeden. Xilinx wird das nicht wirklich interessieren, legal ist es aber 
genau so wenig wie "unseres" oder china clones.

In dem Digilent XUP ist auch nix anderes drin als in dem hier gebauten 
clone (vllt hier und da ein paar sachen weggelassen), was funktion 
(firmware) angeht sind es beide Xilinx clones.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Thomas R. schrieb:
> eigentlich sind 59$ academic pricing
Davon steht bei Trenz weit und breit nichts mehr...
http://shop.trenz-electronic.de/catalog/product_info.php?cPath=30&products_id=588
Auf dem weiterführenden Link dann doch wieder   :-/
http://www.digilentinc.com/Products/Detail.cfm?NavPath=2,395,716&Prod=XUP-USB-JTAG
Allerdings ist der Einkauf direkt bei Digilent wegen der hohen 
Versandkosten 32$ unrentabel: 59$ + 32$ = 61€

von John-eric K. (mockup)


Lesenswert?

So Platine ist endlich da.
Aber Farnell braucht bei dem einen Spannungsregler irgendwie länger.
Obwohl die Bestellung schon länger her ist.
Platine wird aber soweit wie es geht diese Woche bestückt.
Wenn also endlich der Regler kommt brauch der nur noch rauf und fertig.

Gruß John-Eric

von John-eric K. (mockup)


Lesenswert?

So alles Bestückt und erkannt wird er auch als FX2.
Mein Problem ist gerade, das er nicht Programmiert wird.
Ich kann die Datei herrunterladen für den EEPROM,
abstecken und er wird trotzdem als FX2 mit EEPROM missing erkannt.
Einen kleinen Fehler habe ich gerade gesehen, ich habe den 20k 
Widerstand am I2C EEPROM vergessen.
Aber das dürfte nicht das Problem darstellen meiner Meinung.

von John-eric K. (mockup)


Lesenswert?

So das Problem war, ich habe versucht den knopf zum Progammieren eines 
größeren EEPROMs zu nutzen.
nun wird er Richtig erkannt.
Der CPLD wird auch ohne Probleme beschrieben.

von John-eric K. (mockup)


Lesenswert?

Das mit der Spannungserkennung läuft noch nicht.
Werde mich da mal ran setzen und das vergleichen.

Gruß John

von Thomas R. (tinman) Benutzerseite


Lesenswert?

ahja stimmt, habe geschrieben "large eeprom button" da ich 24LC128 in 
meinem FX2 proto benutze .. sry :)

Das mit dem spannungserkennung ist seltsam, es sind doch original werte 
(Rs und Ics) die du bestückt hast, oder ?

von John-eric K. (mockup)


Lesenswert?

Ja, werde ich mir Morgen noch mal im Vergleich zum Original anschauen, 
wie da die einzelnen Spannungen an den Bauteilen sind.

Ja durch Zufall hab ich da mal drauf geklickt und dann ging es. ;-)

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

So ich hab die nun mal verglichen.

Und habe beides im Bild angehängt.
R60 ist bei mir 0Ohm, wurde vergessen und ist Eigentlich auch nur 
Interessant bei 5V am JTAG Stecker, meiner Meinung.

R56,57 sind jeweils 20K groß.
Nachgemessen sind 20K und 203 steht drauf, heißt also auch 20K

Wie können da im Original 2,58V entstehen bei einem Spannungsteiler mit 
gleichen Widerständen drinnen?

Bei mir kommt das hin. Hälfte der Betriebsspannung.
Oder Q3 ist was anderes als ein Transistor.
Was ich allerdings nicht denke.

http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/grafik/DSC04938.jpg
hier kann man sogar sehen, dass das 20K sind R70, R71

von Guido (Gast)


Lesenswert?

Q3 könnte auch ein n-Kanal-MOSFET als Schalter sein. Damit würde
es passen.

Gruß,Guido

von Guido (Gast)


Lesenswert?

Nö,

dann wäre die Sourcespannung ja höher als die Gatespannung. Hmmh...

von Klaus Leiss (Gast)


Lesenswert?

Auf Grund der Spannungsdifferenz von 0,66V würde ich sagen parallel zu 
R71 liegt eine Diode. Wenn die Spannung zwischen den anderen Pins von Q3 
nicht so klein wäre würde ich sagen das ist ein PNP Transistor im 
Original. Aber ein Ucesat von 20mV ist ein bisschen gering.

Klaus Leiss

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Also es geht da aber keine Durchkontaktierung weg.

Es scheint ein PNP-Transistor zu sein.

PN Übergänge siehe Bild.
Gut dann muss ich mir den irgendwo besorgen.

Werde den mal ablöten und zum testen die Referenzspannung direkt an das 
Enable Signal legen.
Dann kann ich schon mal testen ob er läuft.

von John-eric K. (mockup)


Lesenswert?

Ich habe gerade noch einen Fehler gefunden.
Beim IC11 NC7SZ66 habe ich Pin 3 und 4 vertauscht.
Werde das mal abändern.

von Klaus Leiss (Gast)


Lesenswert?

Was ich übersehen hatte war der niedrige Kollektor-Emitter Strom der 
fließt. Das sind ja nur ca. 0,3mA da ist das niedrige Uccsat schon eher 
realistisch, ein BC327 hat dann circa 30mV.

Hast du nicht noch irgendeinen Kleinleistungs-PNP in der Bastelkiste den 
du erstmal auf die Pads löten kannst? Da weißt du dann gleich ob das 
ganze richtig funktioniert.

Klaus Leiss

von John-eric K. (mockup)


Lesenswert?


von John-eric K. (mockup)


Lesenswert?

Ja funktioniert nun mit dem .

Hm. aber er erkennt den ID code des FPGAs nicht.

Naja deshalb ist es ja der Prototyp.

Werde mal weiter suchen.

von John-eric K. (mockup)


Lesenswert?

Schon interessant.
Er erkennt welcher Chip dranne hängt,
kann aber den ID-Code nicht richtig lesen.

von Klaus Leiss (Gast)


Lesenswert?

Ich habe gerade mal wieder festgestellt das Leute die lesen können echt 
im Vorteil sind. In einem Post vom 3.9.2009 ( 
Beitrag "Re: Xilinx USB schenatic jetzt veffugbar" ) hattest du für Q3 
geschrieben

Q3  K5N P6(90Grad gedreht)  MMST3906
http://www.diodes.com/datasheets/ds30079.pdf

Ist tatsächlich ein Kleinleistungs-PNP. In dieser Schaltung sollte 
eigentlich fast jeder PNP funktionieren.

Klaus Leiss

von John-eric K. (mockup)


Lesenswert?

Ja, im Schaltplan hab ich den Namen auch drinnen.
Habe aber irgendwie doch einen p-FET bestellt :-(

von John-eric K. (mockup)


Lesenswert?

Läuft!!!  :-)
Ein Widerstand war nur halb dranne.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

R60 :) ?

cool, was zeigt iMPACT als serial ? (ESN option: 00000000000000 oder die 
richtige serial?)

von John-eric K. (mockup)


Angehängte Dateien:

Lesenswert?

Meinst du das?

Ja ich mach das mit dem R60 noch. :-)

Kann man mit dem Cypress Tool auch den EEPROM wieder auslesen?
vielleicht steht da ja noch mehr drinne in den hinteren Bytes?

von John-eric K. (mockup)


Lesenswert?

Habe mal ein Bild der fertigen Platine gemacht.
http://freenet-homepage.de/MockUp/Xilinx-USB-Kabel/

von John-eric K. (mockup)


Lesenswert?

Was halltet ihr von diesem Gehäuse von Reichelt

AKG 55 24 100 ME

Dazu würde die Platine etwas größer und man könnte die direkt rein 
schieben.
Und geschirmt wäre es durch das Alu auch etwas besser als eine 
Plastebox.

Oder hat wer eine andere Idee?

Gruß John

von norman (Gast)


Angehängte Dateien:

Lesenswert?

Hallo

da ist noch ein Fehler im Eagle-Schema in der 'Endstufe'. InitB und Init
sind im Anschluss an das CPLD vertauscht -> Eingang auf Eingang, Ausgang 
auf Ausgang ! Vergleiche Originalschema !

Gruss Norman

von Thomas R. (tinman) Benutzerseite


Lesenswert?

asche über mein haupt, ausgerechnet slave serial nicht getestet mit dem 
proto board.

Danke Norman !

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Da John-Eric z.zt. etwas busy ist mache ich ein update :

Anbei bilder vom letzten prototyp des Xilinx-USB-JTAG von John-eric.

Alles funktioniert soweit.

Wolfgang R. schrieb:
> Weitere Recherchen ergeben dass Xilinx einen XC2C256-6VQ100C verwendet
> und diesen gibts in ganz Europa nicht. Ob das ganze mit langsameren
> XC2C256-7VQ100C rennt ist ungewiss.

Im bild noch mit dem 7C, allerdings konnte ich die 6C für den selben 
preis auftreiben daher wird der endprodukt die 6C benutzen.

Es wird eine kleine (DIY?) serie geben für forum mitglieder. Die 
Platinen sind bestellt, einige Bauteile sind da, der rest kommt die 
Tage.

Die gute Nachricht, der Preis wird deutlich unter den 68.45EUR 
(trenz-electronic) sein. John-Eric wird dann genauere Details sagen 
sobald er wieder etwas Zeit hat.

von Duke Scarring (Gast)


Lesenswert?

Sehr schön, Klasse!

Wenn der Spartan und der Cypress schon vorbestückt sind, finden sich 
sicher noch ein paar mehr Abnehmer (ich z.B.) :-)

Duke

von Artur H. (hohoho)


Lesenswert?

Ich will demnächst mal mit Xilinx CPLD's/FPGA's anfangen, da käme mir 
sowas auch sehr gelegen :)

Da bin ich schonmal sehr gespannt ... :)

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Duke Scarring schrieb:
> Sehr schön, Klasse!
>
> Wenn der CPLD und der Cypress schon vorbestückt sind, finden sich
> sicher noch ein paar mehr Abnehmer (ich z.B.) :-)
>
> Duke

Eigentlich beim dem DIY sollte nix vorbstückt sein, alleine schon aus 
kostengründen. Gut, im prinzip kann ich einen praktikanten/studenten 
damit beaftragen, immerhin ist stencil verfügbar (vllt jemand aus dem 
Forum?)

Den stencil kann ich natürlich jedem der es unbedingt braucht 
verschicken, da es nur eins verfügbar ist müsste derjeniger dann weiter 
versenden ... also pro person 1,45 versandkosten.

Ich muss nur gucken ob ich den Stencil zuschneide auf platinen groesse 
oder lasse so wie es ist (255x255mm).

von Daniel (Gast)


Lesenswert?

Kann man die Leerplatinen bei schon kaufen oder muss man die selber 
machen?

Etwas oftopic:
Weiter oben wurde ja schon mal darüber diskutiert, aber ich frage lieber 
noch mal nach: Ist der folgende Programmer 100% kompatibel zu dem von 
Xilinx?
http://shop.trenz-electronic.de/catalog/product_info.php?products_id=591&language=de

Ich frage weil ich mir IC1 und IC2 bei Farnell rausgesucht habe und dann 
schon nahe an den 52.36€ liege, die ich für ein fix und fertiges 
hinlegen müsste. Da kann ich mir dann gleich den Digilent Programmer 
kaufen.

Hintergrund: Ich habe mir ein XILINX SK-CRII-L-G ( 
https://de.farnell.com/jsp/search/productdetail.jsp?SKU=1670944 ) 
gekauft. Und irgendwann will ich auch was ohne das Board machen und dann 
brauche ich ja einen Programmer...

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Daniel schrieb:
> Kann man die Leerplatinen bei schon kaufen oder muss man die selber
> machen?
>

die werden natürlich in dem DIY-kit mitgeliefert.

Ich habe nur begrenzte anzahl von den CPLDs aufgetrieben (wegen speed 
rating availability und preis!), dafür aber doppelt so viele platinen 
bestellt :

- für die die so ein CPLD und/oder µC (FX2LP) haben (gekauft/sample)
- falls jemand platine kaputt macht beim löten

Daher ist es auch möglich nur die platine zu bekommen.

> Etwas oftopic:
> Weiter oben wurde ja schon mal darüber diskutiert, aber ich frage lieber
> noch mal nach: Ist der folgende Programmer 100% kompatibel zu dem von
> Xilinx?
> 
http://shop.trenz-electronic.de/catalog/product_info.php?products_id=591&language=de

ja das ist die EDU version von

http://shop.trenz-electronic.de/catalog/product_info.php?cPath=30&products_id=588

Beide sind kompatibel, ist eigenentwicklung von Digilent 
(softwaretechnisch kompatibel).

Der clone hier dazu noch hardwaretechnisch kompatibel (um mit Xilinx 
firmware updates laufähig sein).

> Ich frage weil ich mir IC1 und IC2 bei Farnell rausgesucht habe und dann
> schon nahe an den 52.36€ liege, die ich für ein fix und fertiges
> hinlegen müsste. Da kann ich mir dann gleich den Digilent Programmer
> kaufen.
>

Deswegen war ein günstiges DIY-kit primäres Ziel. Eine "nur-platine" 
lösung ist wie schon gesagt nur gedacht für leute die schon vorhandene 
ICs benutzen möchten (und ich denke es gibt jede menge z.b. studenten 
die samples im schrank haben), oder als "ersatzteil".

Ein EDU produkt von Digilent kostet ~57eur (mit versand) - das kann aber 
nicht jeder kaufen. Eine retail version kostet schon ~72EUR (mit 
versand).

Der DIY-kit hier wird so um die 45-50eur (mit versand) kosten. Für das 
Geld bekommt man in .de nicht mal den FX2 und CPLD (6C rating).

Ganz am rande, ein china-clone kostet das doppelte.

von Daniel (Gast)


Lesenswert?

Ich bin praktischerweise Student, von daher bietet sich das an das 
fertige Ding von Digilent zu nehmen.
Aber verstehe ich das richtig, dass ich auf Digilent für Firmwareupdates 
angewiesen bin? Beim DIY-Programmer hätte ich ja keine Probleme solange 
das Orginal von Xilinx unterstützt wird.

Ab wann gibt es denn ein DIY-Kit? Ich hätte sehr starkes Interesse 
daran.

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Daniel schrieb:
> Ich bin praktischerweise Student, von daher bietet sich das an das
> fertige Ding von Digilent zu nehmen.

aber

> Ich hätte sehr starkes Interesse daran.

irgendwie passt das nicht :)


> Ab wann gibt es denn ein DIY-Kit?

Montag nächste woche werden die boards da sein, hühnerfutter hängt vom 
farnell ab.


> Aber verstehe ich das richtig, dass ich auf Digilent für Firmwareupdates
> angewiesen bin? Beim DIY-Programmer hätte ich ja keine Probleme solange
> das Orginal von Xilinx unterstützt wird.
>

ohne Digilent gefragt zu haben oder jemals reingeuckt zu haben, 
allerdings das bilde spricht (vllt?) für sich - ob in diese kleine 
gehäuse wirklich ein CPLD und FX2 reinpassen ? Um 100% hardwaretechnisch 
kompatibel zu sein muss es ein TQFP100 FX2LP sein, sonst passt die 
Xilinx firmware nicht zum pinout und I/O funktionen.

Übrigens, falls jemand so ein adapter hat kann es gerne aufmachen und 
ein paar bilder davon machen.

von Daniel (Gast)


Lesenswert?

Thomas R. schrieb:
> irgendwie passt das nicht :)

Doch ;) Preislich sind die ja ungefähr gleich teuer. Wenn ich jedoch 
nicht sicher weiß, dass das Digilent Teil vollkommen kompatibel ist, 
nehme ich dann lieber die Selbstbauversion. Außerdem ist das Digilent 
Teil momentan nicht lieferbar.

Wenn man sich die Beschreibung auf der Digilent Seite durchliest, könnte 
man zu dem Schluss kommen, dass die hardwarekompatibel sind, weil auf 
das Datasheet vom Orginalprogrammer verlinkt wird und auch sonst der 
Text danach klingt. Macht ja auch Sinn, weil Digilent dann keine eigene 
Firmware schreiben muss...

Vom Platz wird das natürlich extrem eng, aber es könnte machbar sein...

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

> Um 100% hardwaretechnisch kompatibel zu sein
> muss es ein TQFP100 FX2LP sein
Ich habe jahrelang mit einem simplen Nachbau der Parallel-Cable 3 
gelebt, und der war garantiert in keiner Weise kompatibel zu den 
Bauteilen, die Xilinx in ihrem PC-III verbaut hatte.
Aber: die IMPACT-Software und die Bausteine haben das nicht gemerkt  ;-)

>  Wenn ich jedoch nicht sicher weiß,
> dass das Digilent Teil vollkommen kompatibel ist,
Wer ist schon vollkommen... :-o
Das XUP USB-JTAG ist jedenfalls so kompatibel, dass es problemlos mit 
der Xilinx-SW läuft.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Daniel schrieb:

> Preislich sind die ja ungefähr gleich teuer

Gut für einen studenten mag es sein, für alle andere aber nicht mehr. 
Der preisunterscheid würde schon (fast) reichen um den XILINX 
SK-CRII-L-G zu kaufen.


>
> Wenn ich jedoch
> nicht sicher weiß, dass das Digilent Teil vollkommen kompatibel ist,
> nehme ich dann lieber die Selbstbauversion. Außerdem ist das Digilent
> Teil momentan nicht lieferbar.

Digilent XUP ist mit Xilinx-SW 100% kompatibel, es geht lediglich um die 
hardware (wie die aufgebaut ist). SW-technisch sind beide genau so 
gut/schlecht.

>
> Wenn man sich die Beschreibung auf der Digilent Seite durchliest, könnte
> man zu dem Schluss kommen, dass die hardwarekompatibel sind, weil auf
> das Datasheet vom Orginalprogrammer verlinkt wird und auch sonst der
> Text danach klingt. Macht ja auch Sinn, weil Digilent dann keine eigene
> Firmware schreiben muss...
>

Digilent produziert seit jahren Xilinx boards und auch eigene 
programmer. Was die machen dürfen (clonen?) und was nicht wissen nur die 
und Xilinx.
Die verlinkung beschreibt nur die funktion "compatible with Xilinx’s 
Platform Cable USB, for more information, please see the Platform Cable 
USB datasheet", das sagen china-clones auch.


Lothar Miller schrieb:
>> Um 100% hardwaretechnisch kompatibel zu sein
>> muss es ein TQFP100 FX2LP sein
> Ich habe jahrelang mit einem simplen Nachbau der Parallel-Cable 3
> gelebt, und der war garantiert in keiner Weise kompatibel zu den
> Bauteilen, die Xilinx in ihrem PC-III verbaut hatte.
> Aber: die IMPACT-Software und die Bausteine haben das nicht gemerkt  ;-)
>

ja Lothar, hier gehts nur um die tatsache das die firmware des DLC9 
bestimmte ports vom FX2 benutzt um mit dem CPLD zu kommunizieren. Und 
diese ports sind nur beim FQFP100/128 vorhanden.


Am ende is jedem selbst überlassen was für hardware und zum welchem 
preis  die richtige wahl ist.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Antwort von Digilent bezüglich den XUP cable:

> The firmware in the XUP USB-JTAG cable is actually a proprietary
> product. The cable is not meant to be readily updated or upgraded.

Es macht natürlich den Digilent XUP cable nicht schlechter, lediglich 
nur nicht updatebar.

von John-eric K. (mockup)


Lesenswert?

Hallo

Ich habe nun hier
Beitrag "Bausatz - Xilinx USB Platform JTAG Kabel"

eine Sammelbestellung gestartet, für alle die den Programmer nachbauen 
wollen.

Gruß John-Eric


@Lothar Miller
Ja ich auch, nur das der neue Laptop natürlich keinen Parallelport hat.

@Daniel
Ja 100% Kompatibel, da gleiche Eingangsbeschaltung sowie gleicher 
Schaltplan ;-) Das war ja der Grund des nachbaus.

von martin (Gast)


Lesenswert?

aus aktuellem anlass( zumindest bei mir):

was ist der vorteil (abgesehen vom preis/Verfuegbarkeit) von diesem 
DIY-Kit zu dem fertigen Produkt von digilent? gibt es da welche? Ich 
habe gesehen dass das digilent-board wohl nicht "updatebar" ist,w as ist 
damit gemeint?

sorry dass ich hier sicherlich so selbstverstaendliche fragen stelle, 
aber ich kuemmere mich gerade das erste mal um einen JTAG-Adapter

von John-eric K. (mockup)


Lesenswert?

So ich habe angefangen einen Artikel hier im Forum zu dem Thema zu 
ertsellen:
http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter

Habe ihn auch schon vom Artikel JTAG verlinkt.

Gruß John-Eric

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Da es spass macht, habe den Digilent XUP gekauft und aufgemacht.

Ein nettes multilayer board, wo doch der FX2 und Coolrunner II drauf 
sind.

Die buffer ICs sind NC7WZ07P6X und was auch immer (3 buffer) mit LX 
markierung (VSSOP8).

Die 3.3V und 1.8V LDOs sind in "4-Bump Thin micro SMD" gehäusen, 0.5mm 
ball abstand - macht kein spass die zu löten (IC3, IC4)


Denoch gibts ein paar unterschiede:
- kein DS2411 (also kein multibetrieb möglich)
- keine Vtarget erkennung, keine LED kontrolle
- Kein SLAVE SERIAL mode support - die funktion ist einfach
  nicht implementiert.

Also doch abgespeckter und nciht 100% clone.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

EDIT: der VSSOP8 buffer ist ein NL37WZ17

von Michael G. (linuxgeek) Benutzerseite


Lesenswert?

Thomas R. schrieb:

> - keine Vtarget erkennung, keine LED kontrolle
> - Kein SLAVE SERIAL mode support - die funktion ist einfach
>   nicht implementiert.

Wie meinst Du das?
Also die Spannung des Targets wird schon erkannt, alles andere waere ja 
auch etwas suboptimal, also auf ein 3V3-System einfach mal mit 5V drauf 
zu gehen ;)

von Thomas R. (tinman) Benutzerseite


Angehängte Dateien:

Lesenswert?

Michael G. schrieb:
> Thomas R. schrieb:
>
>> - keine Vtarget erkennung, keine LED kontrolle
>> - Kein SLAVE SERIAL mode support - die funktion ist einfach
>>   nicht implementiert.
>
> Wie meinst Du das?
> Also die Spannung des Targets wird schon erkannt, alles andere waere ja
> auch etwas suboptimal, also auf ein 3V3-System einfach mal mit 5V drauf
> zu gehen ;)


siehe anhang, diese teil "fehlt" bei dem Digilent XUP.

Slave serial mode -> siehe Xilinx docs.

Vtarget erkennung -> die i/o jtag buffer werden direkt vom Vref 
versorgt, ein 2.5K widerstand geht direkt vom VREF zum FX2 SLOE_INT pin 
(damit der FX2 sie Vtarget "sieht"), sowiet die "level erkennung". Das 
die LEDs fehlen weiss du selber.

von Serdar A. (serdar_akalin)


Lesenswert?

Hallo ,
Ich habe ein projekt , indem ein intel Atom board über PCI Express daten
holt. Ich will das noch ein bischen erweitern, so dass Intel Atom auch 
FPGA
bitfile updaten kann. Über USB die JTAG pins zu erreichen schien mich 
sehr plausible.Ich will die schaltung kompplett zu meinem projekt 
integrieren.
Daher wollte ich Fragen , ist der letzte Schaltplan zu bekommen. Wenn 
ich das ganze Topic vom anfang an durchlese, gibt es ein paar stellen wo 
ich sehr unsicher war.
ausserdem wollte ich auch ein Bausatz für mich bestellen, ich habe zwar 
ein USB Kabel von Xilinx , aber nur als Leihgerät und irgendwann muß 
weg. Ich hätte gerne mein eigenes

von Thomas R. (tinman) Benutzerseite


Lesenswert?


von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Hängt das FPGA schon PCIe dran? Wenn ja: warum lässt du nicht das FPGA 
sein eigenes Config-Prom via JTAG updaten. Ich mache das schon lange so 
und es klappt reibungslos ganz ohne USB und Impact...
Dazu gibt es die XAPP058, der uC wäre in deinem Fall das Atom-Board.

von Christian R. (supachris)


Lesenswert?

Oder aus einem BPI Flash booten, und den aus dem Design heraus einfach 
neu beschreiben. Wir machen das mit den SPI Bootproms am Spartan 3e so. 
SPI Flash ist meist zu langsam, wenn man das PCIe direkt mit dem FPGA 
macht.

von Serdar A. (serdar_akalin)


Lesenswert?

Der FPGA ist ein Spartan 6 , ausser PCIE 2 4Gig DDR2 sind am FPGA 
angeschlossen und noch 100 I/0s , bank0 bank3 und bank4 wegen DDR2 , 
bank1 und bank5 wegen 100 I/Os voll belegt. bank2 hat 2 32Mbit Platform 
flashs
für die Konfiguration. Am anfang habe ich über bitfile update keine 
gedanken gemacht. Ich programmiere die Platform falashs über JTAG und 
das ist gut ,habe ich gedacht. Nun ich intel atom on board habe, Über 
intel atom kann ich JTAG pins erreichen. Weil FPGA kann die Platform 
flashs nicht programmieren. So kann ich Ohne auseinander schrauben über 
ethernet z.B.Platform Flashs updaten. wenn die NOR flashs wären , 
bestünde natürlich die möglichkeit dass , die FPGA die Flashs neu 
programmiert. Aber ich brauchte bank1 und bank5 vollständig.
besten Dank..

von Christian R. (supachris)


Lesenswert?

Serdar Akalin schrieb:
>  Nun ich intel atom on board habe, Über
> intel atom kann ich JTAG pins erreichen.

Kann dein Atom direkt auf den JTAG Pins arbeiten? Wenn ja, dann guck dir 
mal die XAPP058 von Xilinx an, die beschreibt, wie man z.B. Platform 
Flash von einem Controller aus über JTAG programmiert.

von René D. (Firma: www.dossmatik.de) (dose)


Lesenswert?

Mal ehrlich,

Ruckfrage an den Buschfunk,
Bei wem läuft das Kabel ?



Mein Kabel wird geupdated, aber es wird keine chain mit Bausteinen 
gefunden. Also konnte ich keinen FPGA beschreiben.

von Guido (Gast)


Lesenswert?

Hmmh, meins geht. Ich habe nur mit einem CPLD (XC9536) getestet,
der wird aber erkannz gelöscht und gebrannt.

Musst die Ausgangsseite nochmal kontrollieren.

von Ralf H. (heschdy)


Lesenswert?

Moin,

meiner funktioniert 1A.... Danke nochmals für dies Sammelbestellung.

von Thomas T. (knibbel)


Lesenswert?

Hallo,

ich kann mich auch nicht beklagen. Aufgebaut, Update gefahren und läuft. 
Programmiert hier Spartan 3-Chips. Habe das parallele Kabel weggepackt, 
aber ich weiss gar nicht mehr wo... :-)

Gruß,
Thomas

von Olaf (Gast)


Angehängte Dateien:

Lesenswert?

Bei mir war ja am Anfang das CPLD defekt, aber nachdem ich das 
gewechselt habe geht er auch.

Ich habe mir noch einen Adapter gemacht damit es zu meiner lokalen 
Hausnorm kompatibel ist. :-)
Hat da jemand interesse dran?

Olaf

von Ralf H. (heschdy)


Lesenswert?

kannst du bitte auch das schematic davon posten?

von olaf (Gast)


Angehängte Dateien:

Lesenswert?

Kein Problem....

Olaf

von John-eric K. (mockup)


Lesenswert?

@ Rene.

versuche erst einmal das:
http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter#Probleme

und wenn es dann immer noch nicht läuft, wäre es gut, wenn du mit einem 
Oszi die Ausgangspins anschaust. TCK, TMS, TDI, TDO
Schaltplan siehe hier
http://www.mikrocontroller.net/wikifiles/thumb/b/b6/Sheet03.png/400px-Sheet03.png

Schaltet die LED von Rot auf Grün um?


Ich hatte bei dem Prototypen das Problem das ein Widerstand nicht 
richtig angelötet war. Dadurch bekam ich auch keinen Chain zugriff.

John-Eric

von dose (Gast)


Lesenswert?

Ich habe den Aufbau nicht gerade vor mir.

Setup:
Ich habe das Xilinx board Spartan 3AN. Da ist der interne USB-Jtag on 
board und der 6Pin Jtag Connector herausgeführt.

Der JTAG Connector geht, denn ich benutze gelegentlich diesen mit einem 
FTDI JTAG.


Von der Verdrahtung müsste es die OLAF-Variante sein, nur dass ich keine 
zusätzliche Spannung erzeuge. Den Sinn dieser Spannung habe ich noch 
nicht verstanden. Vielleich kommt es auch daher, da ich auch noch nicht 
mit dem Orginal Kabel von Xilinx gearbeit habe.
Der kleine Unterschied, ich habe VCC-Board 5V direkt zum Programmer Pin 
2 geführt.

Ja die Led wechselt die Farbe. So weit läuft es schon.

von Olaf (Gast)


Lesenswert?

> Von der Verdrahtung müsste es die OLAF-Variante sein,

Hach...ich werde beruehmt. :-)

> Den Sinn dieser Spannung habe ich noch nicht verstanden.

Da haben wir doch schon das Problem. Du kannst FPGAs mit
verschiedenen Spannungen laufen haben, also z.b 3.3V oder 2,5V.
Die Pegel der Bustranceiver im Brenner sollten daran angepasst sein.
Deshalb misst der Brenner normalerweise die Spannung in deinem
Board und passt sich daran an. Du musst da also einen brauchbaren
Wert anlegen!

Das doofe ist nur das man dafuer halt noch eine Leitung mehr braucht die 
man frueher mit dem alten selbstgebastelten Druckercable nicht hatte und 
die man deshalb auch in alten Designs nicht vorgesehen hat. Deshalb gebe 
ich die Spannung mit einem Poti vor. Man beachte auch wieviel Liebe 
<hust> ich auf die Berechnung der Widerstaende verwendet habe damit das 
Poti perfekt ausgenutzt wird.

BTW: Wer sich jetzt fragt ob da wirklich ein LM317 fuer notwendig 
gewesen waere, ich hab einen ganzen Schwung von den Teilen da und wollte 
auch mal probieren ob das Gehaeuse so passt. Es scheint die Teile in 
SOT8 wohl auch in verschiedenen Anschlussbelegungen zu geben...

Olaf

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Olaf,

die D1 ist dafür gedacht um VCC anzupassen:

http://www.mikrocontroller.net/wikifiles/b/b6/Sheet03.png

damit laufen die Bustranceiver mit 3.3V.

Auch deine "alte designs" hätten die vccio und nicht 5V herausgeführt 
haben müssen, auch für den Parallel Port JTAG kabel.

von Martin K. (klubi)


Lesenswert?

Hallo,

ich habe mich entschieden das Kabel auch nachzubauen und hätte dazu eine 
Frage. Ich hoffe die wurde hier noch nicht diskutiert, sonst habe ich 
was übersehen :)
Statt den NC7SZ66 Bus Switch habe ich nur ein TC 4S 66F
http://www.produktinfo.conrad.com/datenblaetter/150000-174999/171735-da-01-en-TC_4S66F.pdf
in der Schublade liegen. Die sehen durchaus Pinkompatibel aus, die Frage 
ist nur, ob der reicht oder schnell an seine Bandbreitengrenze stoßen 
kann?

Gruß,
Martin

von Thomas R. (tinman) Benutzerseite


Lesenswert?

ja der dürfte gehen, ist zwar faktor 10 langsammer aber hier wird er nur 
eingesetzt für die VTarget erkennung, so gesehen muss der nicht super 
schnell sein.

von Philipp H. (quecksilber)


Lesenswert?

Hallo ihr!

lebt hier noch jemand?

Ich habe schon vor mehr als 2 Wochen mockup und tinman angeschrieben und 
keine Antwort erhalten..

Ich habe Interesse an zwei Platinen oder den Layoutdaten, wenn keine 
Platinen mehr verfügbar sind, dann würde ich die Platinen eben selber 
fertigen lassen!


viele Grüße, Philipp

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Philipp H. schrieb:
> Hallo ihr!
>
> lebt hier noch jemand?
>
> Ich habe schon vor mehr als 2 Wochen mockup und tinman angeschrieben und
> keine Antwort erhalten..
>
> Ich habe Interesse an zwei Platinen oder den Layoutdaten, wenn keine
> Platinen mehr verfügbar sind, dann würde ich die Platinen eben selber
> fertigen lassen!
>
>
> viele Grüße, Philipp

echt keine antwort? noch vorgestern habe mit John-Eric über deine email 
gesprochen. Ich denke er wird sich bei dir melden.

von John-eric K. (mockup)


Lesenswert?

Antwort kommt.
Zurzeit ein bisschen ruhiger treten und mal ausspannen ist angesagt ;-)

von steffen (Gast)


Lesenswert?

hatte jemand schonmal das Problem, dass bei dem Boundary Scan unzählige 
unbekannte Geräte gefunden werden obwohl nur VTarget & GND angeschlossen 
ist?

Prinzipiell sollte er ja dann gar keine Geräte finden können.
Hab es insgesamt zweimal aufgebaut und einmal klappt alles und das 
andere Mal werden eben unbekannt Geräte gefunden obwohl nichts außer 
VTarget & DNG angeschlossen ist.

Lötstellen habe ich eigentlich überprüft und bin gerade ein wenig ratlos 
:-(


danke

von Christian R. (supachris)


Lesenswert?

Das macht der originale rote Xilinx aber auch ab und zu...

von Martin K. (klubi)


Lesenswert?

... diese Erfahrung habe ich auch schon mit dem parallelen Kabel 
gemacht. Ich glaube mich noch zu erinnern, dass ich keine 
Spannungsversorgung an meiner Platine hatte (oder auch eine kalte 
Lötstelle oder so was).

von Peter (Gast)


Lesenswert?

Hat einer die die Original Xilinx PDF, über den Link ist sie nicht mehr 
zu erreichen.

Danke schon mal im vor raus.

LG
Peter

von Thomas R. (tinman) Benutzerseite


Lesenswert?


von Judgin F. (einsteiger777)


Lesenswert?

Hallo,

ich habe auch einen Xilinx DLC9G clone nach eurer Anleitung nachgebaut.
Der Zeit habe ich aber ein Problem, diesen zum Laufen zu brigen.
Ich habe die Schritte befolgt, die auf der Hauptseite angegeben waren
und bleibe eingentlich beim letzten hängen.

"
-Impact sollte nun erkennen, dass die Firmware auf dem Adapter 
aktualisiert werden muss (Es ist ja auch noch keine Firmware im CPLD ;-) 
). Hat Impact fälschlicherweise eine Firmware erkannt, muss wie unter 
Probleme vorgegangen werden.
-Firmware aktualisieren
"

Die Firmware lässt sich nicht aktualisieren.
Es kommt folgende Fehlermeldung: Error:IMPACT:2358-Firmware update
failed

Dannach habe ich die Fehlerbehandlung bei "Probleme"
durchgearbeitet. Leider ohne Erfolg.
Kann mir an dieser STelle jemand weiterhelfen, oder Tipps geben wo man
nachsehen sollte.

Für jede Hilfe bin ich dankbar.

Viele Grüsse
Judgin

von John-eric K. (mockup)


Lesenswert?

Hallo Judgin,

1.)Vielleicht solltest du die ganze Ausgabe von Impact posten.

2.)Alle Spannungen sind vorhanden? (1,8V, 3,3V)
3.)Der EEPROM wurde richtig programmiert?
4.)Windows hat das Kabel im Gerätemanager als Xilinx Programmierkabel 
erkannt? Wenn nicht -> EEPROM nicht richtig programmiert.

5.)ISE erkennt den Adapter auch richtig (Ausgabe posten siehe 1.)?

Gruß
John-Eric

von Thomas R. (tinman) Benutzerseite


Lesenswert?

und bitte nicht mit ISE version > 10.x versuchen, das erste mal
sollte es am besten 10.x sein, danach kann man mit höheren
versionen die firmware höher updaten (falls notwendig).

von Judgin F. (einsteiger777)


Lesenswert?

Hallo John-Eric und Thomas R.,

erstmal danke für die Tipps.
Ich habe den Fehler kurz vor euerer Mail endeckt. Der Spannungsregler 
(MCP1825S-33, auch IC13) von 5V --> 3,3V war defekt. Habe diesen 
ausgewechselt und anschließend das Board programmiert. Die Schaltung 
funktioniert jetzt einwandtfrei.

Cooles Projekt!

Viele Grüsse
Judgin

von John-eric K. (mockup)


Lesenswert?

Na dann ist es ja geklärt.

Viel Spaß damit.

von Sebastian (Gast)


Lesenswert?

Hallo Gemeinde,

lässt sich mit diesem Xilinx USB-JTAG-Adapter ein Spartan6 konfigurieren 
und auch ein an dem FPGA angeschlossener SPI Flash programmieren (via 
iMPACT). Ich habe leider keine Antwort via SuFu gefunden.

Danke Sebastian

von Christian R. (supachris)


Lesenswert?

Ja, das geht. Wenn der Programmer von Impact unterstützt wird, kann man 
auch indirekt die SPI Flashes programmieren. Lohnt sich aber 
mittlerweile nicht mehr, nachzubauen, denn die Digilent Adapter sind 
auch Impact-Kompatibel und billiger als die Bauteile für den Clone.

von Robert Brown (Gast)


Lesenswert?

Hi,
I have a faulty Xilinx Platform Cable USB (DLC9G) which does not detect 
the On-Board supply (Vref) and as such the status indicator remains 
orange. I was trying to find the circuit diagrams (schematics) for the 
card however I cannot find them via any of the links in this post or via 
the Xilinx website. Can anyone help with identifying the location of the 
diagrams ? Are they still available on the Xilinix website ? If so, how 
do you find them ?

Best Regards

Bob Brown

von Robert Brown (Gast)


Lesenswert?

Hi,

(A German translation, perhaps not very good.....??)

ich habe ein defektes Xilinx Platform USB-Kabel (DLC9G), die nicht 
erkennt
die integrierte Versorgung (Vref) und als solche die Status-LED leuchtet 
orange. Ich war auf der Suche nach die Schaltpläne (schematics) für die 
Karte aber ich kann nicht finden Sie über die Links in diesem Post oder 
über das Xilinx website. Kann mir jemand helfen bei der Identifizierung 
der Position der Diagramme ? Sind Sie immer noch auf den Xilinix Website 
? Wenn ja, wie sie diese finden können?

Mit freundlichen Grüßen

Bob Brown

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?


von Robert Brown (Gast)


Lesenswert?

Hi Lothar,

Thanks for your reply above, I could not see any diagrams that were 
specific to the DLC9G. I had hoped that perhaps someone on this forum 
had the actual Xilinx diagrams. The previous post from Thomas R. 
(tinman)
Datum: 08.08.2009 09:24 shows part of what appears to be the correct 
Xilinx schematic along with a photo of the PCB. Perhaps someone has the 
rest of the diagram ?

Thanks

Bob

von Russia (Gast)


Lesenswert?

Sorry,

I follow the link, sroll down and see the schematic !

regards Russia

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Bob,

this thread and the resulting project

http://www.mikrocontroller.net/articles/Xilinx_USB-JTAG-Adapter

are nearly identical with org. DLC9G/DLC9LP, afair the only difference 
was in the power rail part. The orange red error can be therefore the 
detection circuit or CPLD itself (or its programming which can be forced 
repalced).

von Robert Brown (Gast)


Lesenswert?

Hi Thomas,

Thanks for your e-mail reply (18/07/2013) above.

I have looked at the Faulty Xilinx DLC9G in more detail and have found 
the initial problem is due to a Faulty Comparator (U2 - LT1719S6) which 
is connected to the 3.3VSW (Switched) supply. The problem was that the 
supply was being loaded down by this IC, I had measured approximately 
1.5 Ohms across the supply, which after removing the IC returns to a 
more acceptable ~460 Ohms. Previously the 3.3VSW supply was only 
reaching ~0.65V, however with the IC removed it now reaches 3.3V OK. I 
am now awaiting a replacement IC which will hopefully restore correct 
operation of the DLC9G. Your previous post - Datum: 08.08.2009 09:24 
shows part of what appears to be the correct Xilinx DLC9G schematic 
along with a photo of the PCB and also a partial parts list. This was 
very usefull in identifying the problem area and also the actual 
components, as it did show the area of the circuit where the faulty 
component was. The difference in the circuit diagrams in this case was 
quite important as the DLC9G has switched 1.8V and 3.3V supplies.

Thanks again for your help, I am still trying to find the 'original' 
complete DLC9G schematics, however I suspect it may now be almost 
impossible to find copies.

Best Regards

Bob.

von armin (Gast)


Lesenswert?

Hello
Thanks for your work, is it possible to replace the cpld with 
XC2C64A-7VQ100C or other ones?

von Marcel K. (mk79)


Lesenswert?

Ich weiß der Thread is uralt, aber falls jemand Probleme mit dem DLC9 
unter Windows 10 hat kann hier gerne meine Lösung nachlesen: 
https://www.kilgus.net/2022/02/05/xilinx-usb-cable-and-code-39-windows-10/

Vielleicht hilft's ja dem einen oder anderen.

LG, Marcel

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.