Forum: Markt [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen


von Daniel Schäfer (Gast)


Lesenswert?

Also ich geb jetzt auf.
Sowas vermurkstes wie das USB - Parallel hab ich noch nie zu sehen
bekommen. Ohne da jmd. nen Vorwurf zu machen (da ich ja auch nicht
weiß wo der Bug liegt).
Ich nehm den miniLA jetzt mit nach hause und betreibe in halt parallel.

Sollte irgendwann es nur noch USB geben, dann bau ich mir lieber nen
neuen Adapter als hier den Fehler zu suchen - das geht schneller.

 lg Daniel

von M. K. (kichi)


Lesenswert?

Es ist also nicht möglich über USB mit dem MiniLA zu verbinden, richtig?
Sind die Bilder bei folgenden Posts
1: Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"
2: Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"
noch aktuell/gültig? Dann kann ich damit mal bei den Entwicklern 
anklopfen.

Leider habe ich meinen noch nicht fertig und kann die Probleme deswegen 
(noch) nicht nachvollziehen...

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Meine Reicheltbestellung wurde heute verschickt.
Mein Problem ist jedoch die fehlende Zeit, da ich in 9 Tagen meine erste 
Abi Prüfung schreibe und deswegen doch etwas unter Zeitdruck stehe.

CLPD, SRAM etc. hab ich aber schon aufgelötet.

von Daniel S. (dschaefer)


Lesenswert?

ja die bilder sind aktuell - ist beides mal eine abfrage des portstatus 
mit der windowssoftware.

von Frank L. (franklink)


Lesenswert?

Hallo,
ist das jetzt ein reines Hardwareproblem, oder kann ich durch 
Anpassungen in der Software irgendetwas steuern.

Gruß
Frank

von Daniel S. (dschaefer)


Lesenswert?

ich weiß es nicht - ich vermute beides.
Die Hardware geht ja bei anderen Leuten (also nicht von hier!),
ich vermute eine Kombination aus schlampiger Hardware + schlampige 
Software
im Sinne von "geht hier und jetzt, also isses ok". Ist ja auch nicht
verwerflich für so ein Projekt :)

wenn ich irgendwann wirklich usb brauche, dann hol ich mir nen ip-core 
mit spi schnittstelle und steuer das ganze über spi - schneller wie das 
parallel
denk ich wird man da auch noch ;)

solange ich aber parallel am pc hab mach ich mir keine mühe mehr.

von E. M. (hias)


Lesenswert?

Hallo

In den letzten Posts ist zu erkennen, dass hauptsächlich die RD/WR 
Leitung Probleme macht. Woraus setzt sich RD/WR zusammen?
Der Multiplexer generiert das Signal aus USB8 und GND in Abhängigkeit 
von /RD und ALE. (WR taucht schonmal nicht auf?)
/RD low-aktiv ALE normal (im Schaltplan ist ALE auch als low-aktiv 
gekenntzeichnet?).

Der Read Cycle:(siehe Datenblatt FT2232L Seite 44)
Es liegt zuerst die Adresse an, danach werden die Daten gelesen.
ALE wechelt nachdem die Adresse anliegt von Low auf High. (/RD dabei auf 
High)
Können Daten gelesen werden wechselt /RD nach LOW. (ALE auch Low)

Was bekommen wir als Ausgabe für RD/WR (rein von der Logik her)
IDLE (/RD High, ALE low): USB8 (sollte High sein)
ADDRESS WRITE (/RD High, ALE High): Low (CHECK das ist richtig)
DATA READ (/RD low, ALE low): USB8 (sollte High sein)

Die Ausgaben für AST und DST:
IDLE (/RD High, ALE low): AST High, DST /WR (ist beim Read High)-> passt
ADDRESS WRITE (/RD High, ALE High): AST low, DST /WR (ist beim Read 
High)->passt
DATA READ (/RD low, ALE low): AST High, DST low ->passt

Was schonmal zu erkennen ist, dass USB8 die entscheidende Rolle spielt.

Schauen wir uns zur Vollständigkeit noch den Write Cycle an:(siehe 
Datenblatt FT2232L Seite 43)
Es liegt zuerst die Adresse an, danach werden die Daten geschrieben.
ALE wechelt nachdem die Adresse anliegt von Low auf High. (/WR dabei auf 
High)
Werden Daten geschrieben wechselt /WR nach LOW. (ALE auch Low)

Was bekommen wir als Ausgabe für RD/WR (/RD ist immer High)
IDLE (/WR High, ALE low): USB8 (sollte High sein)
ADDRESS WRITE (/WR HIGH, ALE HIGH): Low ->passt
DATA Write (/WR Low, ALE low): USB8 (HIER DIE AUSNAHME LOW!!!!)

Die Ausgaben für AST und DST:(/RD ist immer High)
IDLE (/WR High, ALE low): AST High, DST High ->passt
ADDRESS WRITE (/WR HIGH, ALE HIGH): AST low, DST high ->passt
DATA Write (/WR Low, ALE low):  AST High, DST low ->passt

Auch hier wieder eine große Fehlerquelle das USB8. Allein von der Logik 
her  passen die Signale für DST und AST. Wie auch Daniel bestätigt sind 
diese auch auf dem Bus zu erkennen. Nur mit dem RD scheint das nicht 
zusammen zu passen. Für mich deutet das auf einen Fehler mit USB8 (PIN40 
FTDI) hin.
Dieser ist meiner Meinung nach in der Software begraben....

Verbinde ich zum Beispiel mit der Software von Frank (miniLA Software) 
mit dem FTDI Chip. Kann ich auf den AST und DST Leitungen ein zyklisches 
Signal  erkennen. Die Software versucht also die Firmwareversion 
auszulesen (vermute ich mal). USB8 ist aber low. Somit liegt auf RD/WR 
immer auf LOW-Potential(Daniel hat das auch bestätigt). Es können also 
keine Daten übertragen werden.
Es muss also überprüft werden, ob und wie dieser erweiterte Adresspin 
gesetzt und gelöscht wird. Es ist dabei die High-Addresse von Bedeutung
und auch der Befehl des extended write/read.
Ich werd mich mal mit meinen sehr beschränkten Delphi-Kenntnissen an den 
Code wagen, vielleicht fällt mir was auf. Aber wir haben ja hier 
glücklicherweise genügen Delphi-Profs :)

Am Anfang denke ich sollte jedenfalls eine Art Dummy read/write 
durchgeführt werden um einen richtigen IDLE Zustand als Basis zu 
bekommen.
Das ist vorerst aber nebensächlich.

@Daniel: Schade das du aufgibst...

von Daniel Schäfer (Gast)


Lesenswert?

2.tes Problem ist dass beim Schreiben schon die Daten an den IO Pins 
anliegen,
wenn AST auf low geht. Also zu früh.

von Daniel Schäfer (Gast)


Lesenswert?

Achja, und ich gebe in dem Sinne auf, dass ich den miniLA auch mal 
verwenden will und er nicht die ganze Zeit in der Firma liegt.

von E. M. (hias)


Lesenswert?

Daniel Schäfer wrote:
> 2.tes Problem ist dass beim Schreiben schon die Daten an den IO Pins
> anliegen,
> wenn AST auf low geht. Also zu früh.

Es müssen ja "Daten" anliegen, nämlich die zu schreibende Adresse?
Oder versteh ich dich da falsch.
Meinst du dass der ganze Transfer soweit verschoben ist, dass sich die 
eigentlichen Addressinfos mit den Daten überschneiden??
Hast du da evtl ein Bildchen dazu?

von Daniel Schäfer (Gast)


Lesenswert?

nein, die adresse (eben 0 um z.B. das Controllregister zu nennen)
ändert sich beim "LED an /ausmachen" schon auf 0x40/0x80.

von E. M. (hias)


Lesenswert?

Ich schaus mir gleich mal selber an. Momentan löte ich noch an den 
Kabeln...

MfG Hias

von Frank L. (franklink)


Lesenswert?

Hallo,
ich werde mir heute Abend mal das Timing der Software ansehen. 
Vielleicht kann ich hier etwas finden. Eventuell ist das Problem auch in 
zu schnellen Rechnern zu finden. An einigen Stellen ist das Timing mit 
der heissen Nadel gestrickt.
Gruß
Frank

von E. M. (hias)


Lesenswert?

Kurzer Zwischenstand.
Der Fehler ist auch der Multiplexer. Meine Version von Reichelt ist 
sowas von langsam. Die Gatterlaufzeit ist unterirdisch schlecht. Ich bau 
den Multiplexer mit Logik-IC nach. Das sollte zunächst eine Verbesserung 
bringen.

von E. M. (hias)


Lesenswert?

Hallo!

Nachdem ich den Multiplexer mit Logikelementen nachgebaut habe kann ich 
zumindest die Firmwarenummer auslesen :) JA ÜBER USB!!!
Somit ist klar wo der Fehler liegt: DER MULTIPLEXER
Reichelt verkauft einfach einen zu langsamen Typen.

Allerdings funiktioniert das Captering noch nicht, da bricht die 
Software ab.
Das kann an meinem Kabelverhau liegen, oder irgendwas stimmt immer noch 
nicht.

Hias

von E. M. (hias)


Lesenswert?

Hallo nochmal.

Kann man die Übertragungsgeschwindigkeit vom FTDI irgendwie 
einschränken?
Soweit läufts schonmal recht gut. Auf auf einen Trigger wird sauber 
gewartet.
Aber die Übertragung der Daten wird abgebrochen. Ich denke dass da vom 
Timing her immer noch etwas nicht stimmt.

MfG Hias

von Frank L. (franklink)


Lesenswert?

Kannst Du "Übertragunsgeschwindigkeit vom FTDI einschränken" näher 
spezifizieren?
Gruß
Frank

von E. M. (hias)


Lesenswert?

Ich weiß nicht genau ob es da bei diesem Bus eine Art Baudrate gibt, 
aber mit Übertragungsgeschwindigkeit würde ich das meinen.
Was bestimmt diese Latenzzeit?

Ich schaffe Momentan nur mit deiner letzten Version der miniLA Software 
eine einigermaßen funktionierende Verbindung (Firmware auslesen 
Triggerfunktionen). Mit der "orginal" klappts nicht...

Hias

von Dipl. Ing. (depr.) (Gast)


Lesenswert?

Juhu,

first contact.
Trotzdem, soviel Schei... am Stiefel kann man aber ja fast nicht haben. 
Erst war das parallel Kabel defekt, dann hatte ich bei den Widerständen 
R107 .. R118 4k7 anstatt 47 eingelötet, und dann hatte ich auch noch 
einen defekten Jumper. Da kommt man aber auch wirklich ganz zuletzt 
drauf.

Es wird solangsam und och schöpfe Hoffnung. ;-)

von Frank L. (franklink)


Angehängte Dateien:

Lesenswert?

@hias,
im Anhang eine miniLa Version, in der Du unter 
setup->Hardware->USB-Setup->manual selection eine Baudrate auszuwählen.

Ich kann die Baudrate leider nicht auslesen und auch noch nicht 
speichern.
D.h. wenn Du die Anwendung beendest, weiß ich nach einem Neustart nicht 
welche Baudrate im Augenblick eingestellt ist. Sobald Du mir sagst, das 
es klappt werde ich die aktuelle Baudrate in die Ini-Datei eintragen und 
bei Programmstart entsprechend Initialisieren.

Gruß
Frank

von E. M. (hias)


Lesenswert?

Hallo!

Vielen Dank erstmal.
Leider kann ich keine Baudrate einstellen. Es kommt ein Fehler:
FT_SetBaudrate - Invalid Handle....
Bin mir nicht sicher ob in der Host Bus Emulation eine Baudrate 
eingestellt werden kann.

MfG Hias

von Frank L. (franklink)


Lesenswert?

Ich denke schon, da es sich intern explizit um eine Funktion für USB 
handelt.
Den Fehler werde ich heute Abend nochmal prüfen. Wahrscheinlich habe ich 
etwas übersehen.

Im übrigen, gibt es noch eine Reihe von weiteren speziellen 
USB-Funktionen, auch die werde ich heute Abend mal posten. Vielleicht 
bekommen wir das ganze dann in den Griff.

Gruß
Frank

von E. M. (hias)


Angehängte Dateien:

Lesenswert?

Hallo!

Nachdem das Problem mit dem Multiplexer gefunden war, und immer noch 
nicht meinen Wünschen entsprach wäre ich auf FF angewiesen gewesen um 
noch kleinere Verbesserungen zu machen. Allerdings war das schon so ein 
Kabelhaufen, dass ich einen einen Schritt in eine andere Richtung gewagt 
habe. Warum nicht die Firmware des CPLD so anpassen, dass das 
USB-Interface passt.
Obwohl ich noch nie was mit VHDL zu tun hatte waren die nötigen 
Änderungen klar.
Ich habe die Pins /WR /RD und ALE auf die Pins RD/WR /DST und /AST 
gebrückt, sodass diese direkt den CPLD füttern.

Nach Anpassung der Firmware bin ich genau an dem Stand wie vorher.
Ich bekomme ohne Probleme die Firmwarenummer oder auch den Trigger kann 
ich einstellen. Starte ich den Capturevorgang wird richtigerweise auf 
den Trigger gewartet, jedoch findet keine Übertragung der RAM-Daten 
statt.
Warum das so ist weiß ich nicht. Nach diesem Vorgang leuchten alle LEDs, 
dass heißt Daten werden zum PC übertragen...
Ich kann in der Software nirgends finden wo der Transfer der Daten 
programmiert ist. Ich denke dass hier der Fehler liegt, denn alles 
andere auf dem Bus funktioniert. Read(Firmware)-Write(Trigger) ohne 
Probleme.
Was allerdings möglich ist, dass das Timing bei großen Datenmengen 
Probleme macht. Da warte ich noch auf Franks Software, die die 
Geschwindigkeit ein wenig drosselt.

Für alle die mit der "neuen" Firmware spielen wollen (hoffentlich) 
findet sich im Anhang eine *.jed Datei für den CPLD. Aber wie gesagt 
einen Fehler schließe ich auch hier nicht aus, da ich keinerlei (jetzt 
ein wenig) VHDL Erfahrung habe.

von E. M. (hias)


Lesenswert?

Hallo!

@frank:
Was für eine IDE benutzt du? Ich hab Borland Delphi 7 (StudentenVersion) 
aber irgendwie öffnet er dein Projektfile nicht... Er läd und läd und 
irgendwann kommt keine Rückmeldung.

MfG Hias

von Frank L. (franklink)


Lesenswert?

@hias
ich verwende Delphi 2007. Es kann sein, das Du das dsk File öffnen mußt.
Gruss
Frank

von E. M. (hias)


Lesenswert?

Frank Link wrote:
> Hallo Leute,
> ich habe die Quellen von MiniLa_win auf meinem Server zum Download
> bereitgestellt.
>
> http://www.derplatinenshop.de/download/minila_win.zip
>
> Im Unterverzeichnis Src befinden zwei Projekte
>
> 1. minila.dpr
> 2. package1.dpk
>
> Im Package1 sind die benötigten Delphi-Componenten enthalten. Diese
> müssen zuerst installiert werden.
>
> Anschließend kann dann das Projekt selber kompiliert werden.

Wo genau muss das Package1 installiert werden?
Beim compilen kommt bei mir immer der Fehler:
[Pascal Fatal Error] uDisplay.pas(33): F1026 File not found: 
'WOwnrScrllBar.dcu'

MfG Hias

von Frank L. (franklink)


Angehängte Dateien:

Lesenswert?

@hias,
das Package1 ist ein eigenes Projekt. Du musst es öffnen und 
installieren.
Dann ist die fehlende Komponente in Delphi vorhanden.

Im Anhang die korrigierte miniLa Version.

Gruß
Frank

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Also meine Erfolge:
USB-Teil wird erkannt, kann das EEPROM beschreiben.
Sollte nach dem Beschreiben das ganze als USB Serial Converter A und B 
erkannt, ist das richtig?

Muss mir jetzt noch die Platine für den Programmer ätzen, ich denke das 
schaffe ich aber erst nach dem ABI (in 2 Wochen)

CLPD etc. (also alles was am Spannungsregler hängt) zieht OHNE 
programmierten CLPD ca 90mA, die Power LED leuchtet, sollte auch soweit 
richtig sein denke ich.

Also keine Kurzschlüsse und keine falsch rum eingesetzten Tantals ;)

Etwas doof beim bestücken fand ich, dass auf der Platine im 
bestückungsdruck pin 1 nicht gekennzeichnet war.

Achja: ist die Buchsenleiste für den JTAG anschluss gedacht? Ich hab ein 
bisschen gebraucht bis ich wusste, wo was hinkommt, bzw. was zum 
Programmer und was zum Board gehört.

von M. K. (kichi)


Lesenswert?

Die Buchsenleiste war für den Programmer gedacht, ja. So, dass man den 
direkt an der Stiftleiste einstecken kann.

Wo fehlt am Bestückdruck Pin 1?

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Naja bei allen ICs.

Normalerweise zeichnet man im bestückungsdruck irgend eine Marke ein, wo 
Pin 1 hingehört, dann ists schneller zu bestücken.

von Ralf H. (heschdy)


Lesenswert?

ist überall ne gefast ecke bei pin 1 ;-)

von M. K. (kichi)


Lesenswert?

eben ;)

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

An den ICs ja, auf der LP nein ;)

von Ralf H. (heschdy)


Lesenswert?

Hat eigentlich schon jemand einen schnelleren Multiplexer gefunden?
Wollte morgen nämlich bei Farnell bestellen damit ich alles noch vor dem 
wochenende habe.

von Ralf H. (heschdy)


Lesenswert?

also bei mir sind die auch auf der leiterplatte. das einzige wo ich mir 
etwas unsicher bin ist bei oszilator(ist aber auch markiert).

von Ralf H. (heschdy)


Lesenswert?

Hier ist eine liste 4053s bei Farnell:

http://de.farnell.com/jsp/search/browse.jsp?N=500003+1000077&Ntk=gensearch_002&Ntt=74HC4053&Ntx=


habe mir mal ein paar datenblätter angeschaut aber keinen schnelleren 
gefunden.

Ideen?

Würde mich auch bereit erklären eine Sammelbestellung zu machen.

von Ralf H. (heschdy)


Lesenswert?

bei etwa 10 personen wäre es dann 1,50€ basiskosten für versand farnell 
incl. versand zu euch + bauteilkosten.

denke das es samstag dann bei euch wäre...

von Thomas V. (thomas_v)


Lesenswert?

Guten Morgen Ralf,

bin auf jeden Fall dabei, wenn Du was schnelleres findest - werde gleich 
auch mal nachsehen!

Gruß, Thomas

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Ich auch!
Habs zwar noch nicht mit dem Reichelt ding testen können, aber wenns bei 
euch nicht geht wieso sollte es dann bei mir funktionieren? ;)

von E. M. (hias)


Lesenswert?

Hallo!

Ich denke der HCT Typ sollte es schon sein. 74HCT4053 gibts auch bei 
Farnell.
Ob der reicht weiß ich nicht. Das von Reichelt versandte Bauteil ist von 
Motorola. Leider finde ich da kein Datenblatt, welches Angaben über die 
Schaltzeiten liefert.
Ich bin mir auch nicht sicher ob das überhaupt mit einem Multiplexer 
funktionieren wird...
Ich wär auch dabei bei einer Bestellung.

Hias

von Michael K. (Gast)


Lesenswert?

@ Hauke Radtki
Ok - bei Elkos, LEDs und dem Gleichrichter gibt's keine Markierungen, 
aber immerhin bei den ICs. Aber gut, dass du's sagst - ich hab das 
Layout eh schon erweitert und werde das noch mit aufnehmen. Vielleicht 
will irgendjemand irgendwann nochmal PCB's bestellen, dann kann er das 
"neue" Layout haben.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Hab ich ne andere LP als ihr?
Ich hab an keinem IC ne markierung, insgesammt hab ich überhaupt nur Die 
Bauteilbezeichnungen und keine Outlines (außer beim Multiplexer ... 
irgendwie komisch)

von Michael K. (Gast)


Lesenswert?

Andere PCB's? Kann nicht sein. Die "kaputten" und die "ganzen" Platinen 
sind alle aus denselben Daten entstanden, d.h. der Bestückdruck hat sich 
nicht geändert. Also selbst wenn du die jeweils andere Version hättest, 
wäre der Druck derselbe.

Bei den QFP-ICs sieht man die Outlines nicht mehr, wenn die Bauteile 
bestückt sind. Beim EEPROM sieht man auch nicht mehr viel davon. Nur 
beim 4053 kann man's noch gut erkennen. Vielleicht liegt's daran und 
sonst ist der Druck ja auch egal...

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Hmm wie auch immer, ich konnte ums verrecken keine Pin 1 zuordnung aus 
den Bestückungsdruck erkennen, deswegen hab ich immer im schaltplan 
geguckt wo pin 1 hingeht und das dann an der LP überprüft.

Naja, ist nix abgefackelt,d eswegen denke ich, dass ich da nix falsch 
rum reingesetzt hab :P

von Ralf H. (heschdy)


Lesenswert?

was wollen wir denn jetzt für einen 4053?

müsste wenn ihr es für das wochenende haben wollte heute noch bis 19 uhr 
bestellen.

von Ralf H. (heschdy)


Lesenswert?

würde folgenden vorschlagen:

TEXAS INSTRUMENTS
CD74HCT4053M

Number of Channels 2
Pd(Typ)(mW) -
Configuration 3 X SPDT
ron(max)(ohms) 130
Technology Family HCT
Dual Supply (+/-V)(Min)(V) 4.5/2
Dual Supply (+/-V)(Max)(V) 5
IL OFF(Max)(nA) +/-1000
RON Mis-match(Max)(Ohms) 5
ON Time(Max)(ns) 43
OFF Time(Max)(ns) 39


http://de.farnell.com/1103149/halbleiter/product.us0?sku=TEXAS-INSTRUMENTS-CD74HCT4053M

kostet ca 0,40€ netto

von Thomas V. (thomas_v)


Lesenswert?

Lt. 
http://de.wikipedia.org/wiki/Logikfamilie#Low_Power_Schottky_TTL_.2874LSx.29

sind die hct-Typen die schnellsten (die schnelleren hat Farnell 
scheinbar nicht)

Gruß, Thomas

von M. K. (kichi)


Lesenswert?

Ist der Reichelt-4053 wirklich von Motorola? Die gibt's doch gar nicht 
mehr, oder doch? Oder meintest du ON?

Hier gibt's ein Datenblatt dazu: 
http://www.ortodoxism.ro/datasheets/motorola/MC74HC4053DT.pdf

von E. M. (hias)


Lesenswert?

@michael
Auf dem von Reichelt ist ein Motorola Firmenlogo drauf, ja.

von M. K. (kichi)


Lesenswert?

Vielleicht verkaufen die noch die Teile von damals ab... ;)

von E. M. (hias)


Lesenswert?

Da würd mich nicht wundern warum das ding so scheiß langsam ist.
Ein Versuch mit der HCT-Variante ist es auf alle Fälle wert.
Ich ziehe jedoch die angepasste Firmware vor.
Wenn ihr bestellt nehm ich jedoch zur Sicherheit auch 2 Stück...

Hias

von M. K. (kichi)


Lesenswert?

Mal ne blöde Frage: kann man den FT2232 nicht so programmieren, dass der 
Multiplexer überflüssig ist?

von Top S. (topsoft)


Lesenswert?

Umprogrammieren wäre super. Aber auch für mich zur Sicherheit einen HCT 
wenn das noch geht.

Gruß Rene

von Ralf H. (heschdy)


Lesenswert?

ok, werde morgen dann die hct bei farnell bestellen, werden dann montag 
bei mir sein und ggf dienstag bei euch.

bitte Anschrift und menge an:

ralf ättt hesterberg puunkt biz

gebe euch dann meine kontodaten.

von E. M. (hias)


Lesenswert?

Hallo.
Den FT2232 kann man nicht so umprogrammieren, dass es ohne Multiplexer 
geht.
Aber den CPLD kann man umprogrammieren, dass er den FT2232 auch ohne 
Multiplexer versteht. Allerdings sind dann zwei Firmwareversionen von 
nöten.
Eine für LPT und eine für USB. Ich habe keine LPT SChnittstelle mehr, 
von dem her ist mir das auch egal. Nur für diejenigen die beide 
Schnittstellen nutzen wollen ist das blöde. Falls bedarf besteht könnte 
man aber auch hier die Firmware so anpassen, dass das Interface über 
einen der freien Pins umzuschalten. VHDL ist gar nicht so schwer wie man 
meint ;)
Ich hab übrigens oben ein jed-File veröffentlicht, dass den Multiplexer 
überflüssig macht.
Außerdem scheint die Software ein Problem mit USB zu haben.
Im besonderen geht es dabei um das Auslesen des SRAM Inhalts.
Ich hoffe ich kann zusammen mit Frank den Fehler finden.

von M. K. (kichi)


Lesenswert?

> Falls bedarf besteht könnte man aber auch hier die Firmware so anpassen,
> dass das Interface über einen der freien Pins umzuschalten.

Die MiniLA-Entwickler meinten, dass der CPLD am Ende sei, sprich die 
meisten Zellen belegt sind. Kann also sein, dass das nicht geht.

Aber ich denke, dass die Meisten hier mit USB zufrieden wären, oder 
zumindest nicht beides brauchen.


> Ich hab übrigens oben ein jed-File veröffentlicht, dass den Multiplexer
> überflüssig macht.

Funktioniert das ohne Probleme? Was muss an der Verdrahtung geändert 
werden? Ich kann es es noch nicht testen, da ich den Programmer noch 
nicht gebaut habe.


> Außerdem scheint die Software ein Problem mit USB zu haben.
> Im besonderen geht es dabei um das Auslesen des SRAM Inhalts.

Meinst du die Windows-Software?

von E. M. (hias)


Lesenswert?

Michael K. wrote:
>> Falls bedarf besteht könnte man aber auch hier die Firmware so anpassen,
>> dass das Interface über einen der freien Pins umzuschalten.
>
> Die MiniLA-Entwickler meinten, dass der CPLD am Ende sei, sprich die
> meisten Zellen belegt sind. Kann also sein, dass das nicht geht.

Da hast du Recht. Der CPLD ist aktuell zu 80% belegt. Viele Änderungen 
sind aber nicht nötig. Von dem her würde es auf einen Versuch ankommen.

> Aber ich denke, dass die Meisten hier mit USB zufrieden wären, oder
> zumindest nicht beides brauchen.

Seh ich genauso. Wenn LPT verfügbar, dann meist auch USB. Wenn der LA 
auf so alten PC's eigensetzt werden soll dann muss halt auf LPT gesetzt 
werden.


>> Ich hab übrigens oben ein jed-File veröffentlicht, dass den Multiplexer
>> überflüssig macht.
>
> Funktioniert das ohne Probleme? Was muss an der Verdrahtung geändert
> werden? Ich kann es es noch nicht testen, da ich den Programmer noch
> nicht gebaut habe.

Du musst die Pins /WR /RD und ALE auf die Pins RD/WR /DST und /AST
brücken (in der Reihenfolge). Das sind am Platz des Multiplexer die 
Pins:
4 mit 13, 10 mit 15 und 11 mit 14.

Obs zu 100% funktioniert kann ich nicht sagen. Es wird zumindest die 
Firmwarenummer ausgelesen und auch die Triggereinstellungen klappen.
Jedoch gibts noch Fehler beim Auslesen des SRAM.
Obs jetzt an der Firmware des CPLD am Bus oder an der Software liegt 
gilt es noch herrauszufinden. Meine Vermutung geht stark in Richtung 
PC-Software.

>> Außerdem scheint die Software ein Problem mit USB zu haben.
>> Im besonderen geht es dabei um das Auslesen des SRAM Inhalts.
>
> Meinst du die Windows-Software?

Ja.

Hias

von M. K. (kichi)


Lesenswert?

>> Meinst du die Windows-Software?

> Ja.

Freut mich, das zu hören! Ich hatte schon Angst, dass wir doch einen 
SRAM haben, mit dem es nicht funktioniert.

Aber via LPT ging es ja auch, deswegen kann es ja egtl. nicht am SRAM 
liegen.


EDIT:
@ Hias
Wenn du schon dabei bist, kannst du den Adresszähler noch erweitern, so 
dass wir die vollen 256kB nutzen können... ;)

von E. M. (hias)


Lesenswert?

Mach ich, sobald die Kommunikation über USB klappt.
Das SRAM funktioniert, keine Sorge ;)

Ich hab auch mittlerweile eine Software am laufen die das SRAM 
fehlerfrei ausliest. Allerdings dauert das knapp 1min ;)
Das gilt es zu Optimieren....

MfG Hias

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Meiner meinung nach sieht das Logo eher nach National Semiconductor aus: 
http://www.dobbertin-elektronik.de/programm/logos/logos-ns.htm

Womit man dann dieses Datenblatt hier hätte: 
http://www.national.com/ds/CD/CD4051BC.pdf#page=2

Typisch  600/210ns kommt mir dann doch ziemlich langsam vor ...

von E. M. (hias)


Lesenswert?

Bei mir is es des hier:
http://www.dobbertin-elektronik.de/programm/logos/logos-motorola.htm

Aber auch gut möglich das Reichelt das verschiedene ausliefert.

Schlecht sind beide ;)

von Michael K. (Gast)


Lesenswert?


von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Da hast du ja richtig glück, der ist eigentlichz ordentlich schnell mit 
30ns

von Frank L. (franklink)


Angehängte Dateien:

Lesenswert?

Hallo Leute,
Matthias (hias), hat es geschafft. Mit seiner maßgeblichen Hilfe ist es 
gelungen die Software soweit ans Rennen zu bringen das die ursprüngliche 
Originalversion mit seinem Board läuft.

Welche Hardwareänderungen notwendig waren kann er berichten.

Sobald jetzt alles stabil läuft, werde ich mit der Überarbeitung der 
Software beschäftigen. Ich werde dazu in den nächsten Tagen in der 
Codesammlung einen neuen Thread eröffnen und Anforderungen sammeln.

Gruß
Frank

von Thomas V. (thomas_v)


Lesenswert?

Hey - astrein. Ich wollte mir schon nen PCMCIA-Parallel-Wandler kaufen. 
Bin mal auf die Änderungen gespannt. Danke für die Info und Hilfe!!!

Gruß, Thomas

von E. M. (hias)


Lesenswert?

Hallo zusammen.

Jep der Fehler ist gefunden. Mich wundert nur dass diese Version je mit 
USB gelaufen sein soll. Eigentlich unmöglich, aber nun gut.

Die notwendigen Änderungen sind hier beschrieben:
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"
Im groben: Multiplexer raus, 3 Brücken rein, neues *.jed aufspielen.

Fragen gerne hier rein schreiben.

MfG
Hias

von Top S. (topsoft)


Lesenswert?

Hi,

ist das immer noch das .jed von oben? Wie lange dauert das Auslesen des 
kompl. Ram jetzt?

Gruß Rene

von Frank L. (franklink)


Lesenswert?

Hallo zusammen,
Matthias ist aufgefallen, dass der untere Scrollbar nicht korrekt 
dargestellt wird. Es hat ein wenig gedauert, bis ich die richtige 
Version in den Versionen von miniLa gefunden habe.

Um diesen Thread nicht noch mit den Software belangen aufzublähen, habe 
ich einen neuen Thread

Beitrag "miniLa Software"

unter Codeammlung eröffnet. Hier findet Ihr die aktuelle Version und den 
aktuellen Sourcecode.

Gruß
Frank

von Alex (Gast)


Lesenswert?

Hallo,

nochmal langsam. Welche Lösung funktioniert denn jetzt???

Wenn ich es richtig verstanden habe, Multiplexer entfernen(Brücken...), 
neue Software aus Software THREAD, feddich?

mfg Alex

von E. M. (hias)


Angehängte Dateien:

Lesenswert?

Hallo!

Ich dachte mir schon das einige nochmal fragen werden, deshalb nochmal 
eine Zusammenfassung.

Die aktuelle Firmware für den CPLD findet ihr im Anhang dieses Posts.
Diese Version gilt nur für die 100Mhz Variante und nur für USB. Ist eine 
Anbindung über LPT gewünscht, müsst ihr die Firmware von der Webseite 
http://minila.sourceforge.net/ verwenden.

Die notwendigen Änderungen der Hardware sind wie folgt:
Der Multiplexer muss entfernt werden. Es müssen 3 Brücken gelötet 
werden:
Pin 4 mit Pin 13, sowie Pin 10 mit Pin 15 und Pin 11 mit Pin14.
Im Anhang ist ein Bild dazu.

Noch ein Hinweis: Beim SRAM muss ebenfalls eine Brücke gelötet werden.
Pin 43 muss z.B. auf GND oder Vcc gelegt oder mit Pin 42
gebrückt werden.

Die PC-Software gibts jetzt in der Codesammlung unter folgendem Thread:
Beitrag "miniLa Software"

Weiterhin möchte ich Frank für die gute Zusammenarbeit danken. Ohne ihn 
wär mir der kurze Ausflug in Delphi nur sehr schwer gefallen.

MfG Hias

von Thomas V. (thomas_v)


Lesenswert?

Hallo Hias,

vielen Dank für Deinen Einsatz. Könnest Du evtl die geänderten 
Quelltexte für den CPLD auch posten. Ich würde nämlich gerne die 
State-Analysis-Firmware benutzen und gehe davon aus, dass hier die 
gleichen Änderungen notwendig sind. Oder sind Deiner Meinung nach dann 
Probleme (auch Softwareseitig) zu erwarten?

Gruß, Thomas

von Frank L. (franklink)


Lesenswert?

Hallo,
ich würde vorschlagen, die gesamte Software in den Softwarethread zu 
verlagern.

Ich kann die Quellen auch gerne auf meinem Server hosten, damit man 
nicht immer durch alle Postings rollen muss, um den jeweils aktuellen 
Stand zu erhalten.

Gruß
Frank

von E. M. (hias)


Angehängte Dateien:

Lesenswert?

Hallo Thomas,

Im Anhang die Source für die Firmware. Ich hab einfach den ganzen Ordner 
gepackt, weil ich nicht weiß was für Dateien notwendig sind.

Nein ich denke, wenn du die Änderungen in VHDL für epp.vhd und 
minila.vhd übernimmst, sollte es auch PC-seitig keine Probleme geben.
Wenn du Probleme mit der Anpassung hast kannst du dich gerne melden.

Hias

von Dipl. Ing. (depr.) (Gast)


Lesenswert?

Ich habe den 74HC4053 von Reichelt (BU4053BF) gegen einen von Phillips 
(NXP) ausgetauscht. Bestellt habe ich das Teil bei RS (Nr. 169-7784, 
74HC4053D).

Damit habe ich auf Anhieb mittels Minila-Win 0.5.3 Kontakt herstellen 
können (USB auf Automatik). Zumindest bekomme ich unten links ein "FW 
1.7 (TA) Ready..." angezeigt und ich kann Signale Triggern und anzeigen 
lassen. Ob das gemessene auch richtig ist muß ich noch verifizieren. 
Stimmt aber auf alle Fälle mit den Ergebnissen über LPT überein.

Also ist jetzt erstmal Kabel löten angesagt. ;-)

von Thomas V. (thomas_v)


Lesenswert?

Hallo zusammen,

habe gerade ebenfalls den Multiplexer gegen den HCT-Typen von Ralf 
(Vielen Dank!) getauscht. Verbindung zum MiniLA stand sofort. Ob die 
Daten plausibel sind, teste ich am Wochenende!

Gruß, Thomas

von Ralf H. (heschdy)


Lesenswert?

Das ist doch super, bin selbst noch nicht dazu gekommen. Hoffe alle 
anderen haben ihre auch bekommen.

von M. K. (kichi)


Lesenswert?

Was für einen habt ihr jetzt genau genommen (Hersteller, Bezeichnung)? 
Ich würde das noch gerne in die Readme aufnehmen.

von Ralf H. (heschdy)


Lesenswert?

habe diesen hier genommen:

CD74HCT4053M — TEXAS INSTRUMENTS

https://de.farnell.com/jsp/search/productdetail.jsp?SKU=539582

von Dipl. Ing. (depr.) (Gast)


Lesenswert?

74HC4053D - NXP (Philips)

von Jens P. (jmoney)


Lesenswert?

Mein HCT4053 von Ralf ist gestern angekommen. Habe mich allerdings noch 
nicht getraut, die Platine überhaupt mal an den Strom zu klemmen. Habe 
einen Widerstand von 580 Ohm zwischen den Versorgungsleitungen..

von Ralf H. (heschdy)


Lesenswert?

Oha, bei mor sind das 2mohm

von Michael K. (Gast)


Lesenswert?

Wo ist: zwischen den Versorgungsleitungen?

Wenn ich zwischen Pin1 (V) und Pin2 (COM) von K6 messe, habe ich 540 
Ohm. Wenn ich am DC-Jack messe, habe ich 800 kOhm.

Das heißt aber auch, dass du das Teil noch nicht programmiert hast, 
richtig? Ich auch nicht. Aber Ralf H. schon, wenn ich das richtig 
interpretiere.

von Ralf H. (heschdy)


Lesenswert?

Da ich versorgungsleitung als dc-jack (con4) interpretiert habe habe ich 
auch dort gemessen (ca 2mOhm).

an k6 (pin 1-2) messe ich 580ohm

mein minila ist noch nicht programmiert.

hatte ihn aber schon angeschlossen und er hatte ca 100mA gezogen.

von Daniel Schäfer (Gast)


Lesenswert?

War bei mir auch am Anfang so und er läuft :)

von Jens P. (jmoney)


Lesenswert?

Ich meinte Vcc<->GND. Gemessen habe ich am Oszillator. Puh, nochmal 
Glück gehabt. Scheint ja dann doch zu stimmen. Programmiert habe ich ihn 
noch nicht, richtig.
Dann werd ich die Mühle wohl morgen mal anwerfen. Heute nicht mehr, ich 
will in meinem übernächtigten Zustand nix kaputt machen.

von M. K. (kichi)


Lesenswert?

Wie sieht's aus: wessen MiniLA läuft und wieviele Fehler wurden damit 
schon gefunden?

Ich hätte noch eine "defekte" Platine abzugeben...

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Ich werd morgen endlich die Programmerplatinte bohren, um das ding 
endlich zu Programmieren, hatte bisher Abi und dann Ferienstress ;)

von Thomas V. (thomas_v)


Lesenswert?

Hallo,

mein miniLA läuft über den Parallelport einwandfrei (außer, dass ich am 
CPLD nen Pin hochbiegen musste und per Draht verkabeln, weil ich 
ungeschickt war) Über USB bekomm ich auch eine Verbindung (mit dem neuen 
4053) und kann triggern etc. Nur beim Laden der Daten zeigt er meistens 
(eigentlich fast immer) ganz kurz >HW not connectet< und dann hat er nur 
einen Bruchteil der Daten auf den PC übertragen.
Vielleicht konnte ja jemand einen ähnlichen Fehler schon beseitigen ?!?
Ansonsten würd ich mich über die "defekte" Platine freuen, da ich sicher 
bin, dass meine Reparatur nicht von langer Dauer ist und ich mich schon 
an das Teil gewöhnt hab ;-) .

Gruß, Thomas

von Christoph R. (mories)


Lesenswert?

Hallo,
ist noch eine Platine, ein CPLD und ein SRAM hier von jemandem zu 
bekommen? Oder müßte ich mir alles woanders besorgen. Hauptsächlich 
gehts mir um die Platine. Der Rest ist weniger das Problem.

Gruß Christoph

von Michael K. (Gast)


Lesenswert?

> Noch ein Hinweis: Beim SRAM muss ebenfalls eine Brücke gelötet werden.
> Pin 43 muss z.B. auf GND oder Vcc gelegt oder mit Pin 42
> gebrückt werden.

Hier hat sich doch glatt der Fehlerteufel zu schaffen gemacht:
Pin 43 muss z.B. auf GND oder Vcc gelegt oder mit Pin 44 gebrückt 
werden.

von E. M. (hias)


Lesenswert?

Michael K. wrote:
>> Noch ein Hinweis: Beim SRAM muss ebenfalls eine Brücke gelötet werden.
>> Pin 43 muss z.B. auf GND oder Vcc gelegt oder mit Pin 42
>> gebrückt werden.
>
> Hier hat sich doch glatt der Fehlerteufel zu schaffen gemacht:
> Pin 43 muss z.B. auf GND oder Vcc gelegt oder mit Pin 44 gebrückt
> werden.

Das ist richtig ja! :) PIN42 ist ja NC...

MfG Hias

von Thomas V. (thomas_v)


Lesenswert?

Ich muss nochmal nerven:
Kann niemand meinen Fehler nachvollziehen:

Über USB bekomm ich auch eine Verbindung (mit dem neuen
4053) und kann triggern etc. Nur beim Laden der Daten zeigt er meistens
(eigentlich fast immer) ganz kurz >HW not connectet< und dann hat er nur
einen Bruchteil der Daten auf den PC übertragen.

Habe den Fehler komischerweise nur auf meinem Laptop. Auf nem alten 
Athlon-PC mit 1 GHz läuft alles perfekt. Auf dem Laptop meiner Freundin 
tritt der Fehler nur bei jeder zweiten Aufzeichnung auf. Es scheint sich 
also um ein Treiber oder USB-Port-Problem zu handeln.
Laptop mit Centrino, 1,73 GHz, 1GB RAM und Windows XP.

Gruß, Thomas

von Stefanie B. (sbs)


Lesenswert?

Hallo,

ich möchte heute anfangen die Platinen zu löten,
welche Version ist die Platine?
Auf minila.sourceforge.net gibt es augenscheinlich keine Version die zu 
der Platine passt.

Und noch viel wichtiger:
Wo bekomme ich einen Bestückungsplan her?


@ Thomas V.
Das Problem kenne ich. Habe seit einem Jahr ein neues Mainboard und es 
funktionieren sowohl unter Win XP als auch Suse Linux 2 meiner 
USB-Sticks nicht. Andere hingegen einwandfrei.
Aber mit der Lösung des Problems habe ich mich leider noch nicht 
befasst.

Gruss
Stefan

von Michael K. (Gast)


Lesenswert?

Schau mal unter -> Hardware -> Other Versions.

In dem Package dort gibt's dann eine Stückliste mit den 
Bauteilbezeichnungen. Die passt wiederum zum Bestückdruck...

von Stefanie B. (sbs)


Lesenswert?

Ähm... ist bei der Version unter Other Versions die rechte obere Ecke 
leicht verändert?

von Michi (Gast)


Lesenswert?

Jep, aber die Bauteilnamen sind dieselben.

von M. K. (kichi)


Lesenswert?

Ich habe demnächst den (wahrscheinlich seltenen) Fall, dass ich die 
Schaltschwellen einstellen muss. Deswegen habe ich mir ein paar Gedanken 
gemacht, wie das realisiert werden könnte.

Als eine (sehr) gute Lösung erscheint mir die Verwendung eines 
Komparators: auf einen Eingang das zu messende Signal, auf den anderen 
die Referenz.

Bei der Suche nach geeigneten Komparatoren bin ich auf den LT1715 
(Dual-Komp.) gestoßen. Der macht zum Einen die 100MHz mit (in meinem 
Fall unnötig aber wenn schon denn schon), und zum anderen lässt er für 
Ein- und Ausgangsseite verschiedene Pegel zu. Dadurch lassen sich z.B. 
auch 12V-Signale messen.

Allerdings ist das Teil mit ca. 4 Euro pro Stück nicht gerade günstig.

Hat dennoch jemand Interesse an einer entsprechenden Schaltung? Falls 
ja, würde ich ein Layout machen, falls nein, baue ich es halt so auf...

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Interessant wäre das schon, auch wenn ich mir das garantiert nicht für 
alle Kanäle leisten kann.

von M. K. (kichi)


Lesenswert?

Ich baue mir das wenn dann auch nur für maximal 8 Kanäle auf.

Wegen der Erzeugung der Referenzspannung müsste man sich (wir uns) aber 
noch Gedanken machen. Am einfachsten wäre natürlich ein Poti, aber ich 
habe keine Ahnung ob das von der Genauigkeit und Stabilität her 
ausreichend ist.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Normalerweise wär es ja sinnvoll die einstellung des Schaltpunktes über 
den FPGA zu erzeugen, da ich aber leider garkeine Ahnung von FPGA habe 
kann ich da leider nicht weiterhelfen. Ich würde mir vermutlich dann was 
kleines mit tiny13 aufbauen (geglättete PWM, mit OPAMP als 
impedanzwandler) die Glättung kann und sollte man natürlich so vorsehen, 
dass kein merklicher Ripple mehr auf der Spannung ist, aber das sollte 
kein Problem sein, da man ja diese Spannung nicht allzuschnell 
verstellen können muss. Vielleicht noch nen 7Segment Anzeige zur Anzeige 
der eingestellten Spannung (oder LCD, je nach dem was man gerade da hat) 
Man könnte den OpAmp auch dazu nutzen eine Verstärkung einzubauen um 
z.b. auf 12V oder so zu kommen.

von M. K. (kichi)


Lesenswert?

Leider läuft mein MiniLA noch nicht. Ich bekomme beim Programmieren 
immer folgende Fehlermeldung:

ERROR:iMPACT:583 - '1': The idcode read from the device does not match 
the idcode in the bsdl File.

Hat/Hatte jemand dasselbe Problem?

von Ralf H. (heschdy)


Lesenswert?

Hast du auch den richtigen cpld ausgewählt? also auch den XL?

von M. K. (kichi)


Lesenswert?

Wo kann ich das auswählen?

Ich habe halt "Cable Auto Connect", anschließend "Add Xilinx Device" und 
dort das *.jed-File ausgewählt. Wenn ich dann rechts auf das Teil 
klicke, "Program" wähle und dort mit "OK" bestätige kommt die Meldung. 
Ein Device konnte ich da nirgends einstellen.

Achso: ich habe das ISE 9.2i

von Ralf H. (heschdy)


Lesenswert?

Hmmm, direkt über impact hatte ich es nicht probiert und auch noch nie 
gemacht.
Habe mir in ise ein neues projekt gemacht mit allen einstellungen, und 
dann von dort nach dem synthetisieren/implementieren impact aus ise aus 
aufgerufen.
hatte auch einen orginal xilinx programmer (parallelport)

von Jens S. (dh6bb)


Lesenswert?

Moin moin,
kann mal jemand ein Foto von seinem miniLA machen und hier reinstellen? 
Mich interessiert vor allem die Bestückung der Stiftleisten und die 
kleinen Zusatzplatinen.
Wie sieht es mit einem Gehäuse aus? Welches nehmt ihr?

mfg Jens

von M. K. (kichi)


Angehängte Dateien:

Lesenswert?

Die beiden gewinkelten 16pol. waren aus, als ich bestellt habe.
Und putzen/waschen muss ich das ganze Dingens noch...

Zusatzplatinen habe ich (noch) keine.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Ich hab irgendwie probleme beim Programmieren der Firmware.

iMPACT findet meinen programmer nicht.

Mein programmer ist diese Platine hier: 
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"

Im autoconnect modus findet er garnichts, mein parallelport steht auf 
EPP+ECP.

Am Kabel kanns nicht liegen, ich hab den Programmer auch mal direkt 
angeklemmt ;)

Der Programmer hat auch strom, die LED leuchtet schön vor sich hin etc.

Woran könnte es noch liegen?

von M. K. (kichi)


Lesenswert?

Hast du den Programmer mal komplett getestet? Sprich hast du alle 
Verbindungen/Funktionen/Gatter geprüft?

Da das Teil ja recht einfach aufgebaut ist, lässt es sich entsprechend 
einfach testen.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Das werd ich wohl mal machen, so schwer kanns ja nicht sein ;)

Die Verbindungen hab ich auch schon alle nachgelötet etc.

Nachgemesen hatte ich schon mal alle ein/ausgänge am parallelport, bei 
der erkennung hat sich an 2 oder 3 ein klein wenig getan, die spannung 
ist um etwa 0,3V abgefallen (vorher irgendwas um 3,8 oder so) irgendwie 
ganz schön merkwürdig, vom board kommen 3,25V irgendwie spielt der 
parallelport da noch mit seinen Spannungen mit rein, werd ich heute mal 
genauer überprüfen.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

So das Problem lag bei mir an der männchen/weibchen problematik bei den 
steckern, dadurch, dass ich das 1. board geätzt, im warenkorb aber der 
Stecker für das 2. board war (und somit spiegelverkehrt war) konnte 
iMPACT den Programmer nicht erkennen.

Jetzt hab ich die Buchse ausgelötet und mit Kabeln richtig verkabelt.
iMPACT erkennt den Programmer auch jetzt.

Aber es geht natürlich nicht problemlos weiter :(
iMPACT liest jetzt eine falsche DEVICE ID.
Gelesen werden erst 4 0en und dann nur noch 1en.
Wenn ich den JTAG input PIN jetzt auf masse lege kommen auch nur 0er 
raus, also das board scheint ok, ohne angestöpselten miniLA liest er das 
gleiche (4mal 0, rest 1), also scheint der CPLD nicht auf die JTAG 
anfrage zu reagieren.

Die Schnittstelle ist richtig verkabelt, das hab ich schon überprüft, 
die kabel sind so kurz wie möglich. Noch irgendwelche Ideen?

EDIT:

Hier das was mir iMPACT auf meine GET DEVICE ID anfrage ausgibt:

// *** BATCH CMD : ReadIdcode -p 1
ERROR:iMPACT:583 - '1': The idcode read from the device does not match 
the idcode in the bsdl File.
INFO:iMPACT:1578 - '1':  Device IDCODE : 
00001111111111111111111111111111
INFO:iMPACT:1579 - '1': Expected IDCODE: 
00001001011000010110000010010011

von Ralf H. (heschdy)


Lesenswert?

die verbindung zwischen pfostenstecker und cpld sind richtig? E1 auf E5 
usw...?

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Ja hab extra 3-4x nachgeguckt :(

Dann besteht eigentlich nur noch die möglichkeit, dass der CPLD kaputt 
ist, was absolut ärgerlich wäre.

von Ralf H. (heschdy)


Lesenswert?

hast du kein anderes device mit einem jtag anschluss zur verfügung nen 
avr,.... dann kannst du zumindest mal ausschließen das es der programmer 
ist.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Hmm das stk501 hat doch n JTAG port? müsste ich gleich mal testen ;)

Aber ich seh auch mit dem oszilloskop nichts auf dieser Leitung.

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Hmm ist hier vielleicht irgendwer aus der gegend Marburg (Hessen) der 
nen Programmer hat und mir mal aushelfen könnte? Ich hab im moment keine 
Zeit und lust den Programmer nach Fehlern abzusuchen, und würde es gern 
mit einem funktionierenden Programmer testen. Wenns dann nicht geht muss 
es wohl der Xilinx sein der hinüber ist, das wäre aber sehr ärgerlich.

von Ralf H. (heschdy)


Lesenswert?

Also wenn du ihn mir mit rückporto zusendest kann ich ihn dir gerne 
versuchen zu programmieren. habe zugang zu einem orginal xilinx 
programmer.

@all
Wie sehen denn eure pegelwandler platinen aus? Habt ihr fotos/layouts?

von Daniel F. (Gast)


Lesenswert?

Wie sieht es eigentlich mit dem MiniLA Projekt aktuell aus ?

Was habt ihr nun letztendlich kostenmässig bezahlt und in wie weit ist 
das ganze schon nutzbar ?

Viele Grüße,

Daniel

PS: Ich hätte ggf. auch Interesse an so einem "Bausatz".

von Christian_RX7 (Gast)


Lesenswert?

Hallo,

der aktuelle Stand des Projektes würde mich auch interessieren?
Laufen eure Analyser?
Gibts noch Bausätze?

Danke,
Christian

von Ralf H. (heschdy)


Lesenswert?

Hallo,

mein MiniLA läuft und wurde auch schon in dem ein oder anderen Projekt 
erfolgreich eingesetzt.
Zm Preis kann ich nicht so richtig was sagen, da ich etwas den Überblick 
verloren habe. Müssen aber deutlich unter 100€ gewesen sein.

von M. K. (kichi)


Lesenswert?

@ Christian
Soweit ich das noch weiß waren es etwa 70,- nur für den MiniLA. Ohne 
"Tastköpfe", Grabber und dergleichen. Bausätze gibt es leider keine 
mehr, auch keine Einzelteile. Frank Link (Firma Der Platinenshop) hat 
mal drüber nachgedacht einen Bausatz in seinem Shop anzubieten - ich 
weiß aber nicht wie da der aktuelle Stand ist...

@ Ralf
Ich habe dir die letzten Tage ne Email geschrieben - hast du die 
gekriegt?

von Christian_RX7 (Gast)


Lesenswert?

Schade, dann muß ich mir wohl den LogicPort bestellen.

Danke,
Christian

von Michael K. (Gast)


Lesenswert?

> Schade, dann muß ich mir wohl den LogicPort bestellen.
Naja ich koennte dir anbieten eine Platine zu bestellen (oder auch 
mehrere, wenn du weitere Leute findest) oder dir die Daten geben. Um die 
Bauteile muesstet ihr euch aber selber kuemmern - ich kann dir nur die 
Bezugsquellen nennen...

von Christian K. (christian_rx7) Benutzerseite


Lesenswert?

Hallo,

tja so richtig weiter bin ich bis jetzt mit meiner Entscheidung nicht 
gekommen, ob Logicport oder MiniLA.
Welches SRAM habt ihr jetzt verwendet? (scheint der am schwierigsten 
beschaffbare Teil zu sein)
Funktionieren bei euch in der Software die Interpreter (I2C, SPI,...)?
Ich bekomme im Demo Modus immer nur "not implemented yet"

Micheal, hast du auch Patinendaten zu den Eingangskarten?

Danke,
Christian

von M. K. (kichi)


Lesenswert?

@all
Nochmal zum Thema Multiplexer: "mein" HCF4053B funktioniert wohl auch 
nicht. Ich habe noch keinen anderen getestet aber via LPT funktioniert 
mein MiniLA und via USB nicht. Ich werde die Tage mal einen anderen 4053 
ausprobieren.

Damit sieht es dann aus als ob diese nicht funktionieren
- BU4053BF
- HC4053 von Motorola
- CD4053B von National
- HCF4053B von ST

und diese funktionieren
- 74HC4053D from NXP / Philips
- CD74HCT4053M from TI

Vermutung eines der Entwickler: der niedrigere Ron und damit das 
veränderte Timing der HCT- ggü. der CMOS-Typen. Also: HCT verwenden...



@ Christian Kreuzer
Wir (bzw. der Großteil von uns) haben K7B803625B-PI65 verwendet. Die 
waren bei EBV Elektronik ab Lager verfügbar (Gewerbe notwendig). Dann 
wurden noch ein paar MCM69F737TQ7.5 und CY7C1345-117AC verwendet, von 
denen ich allerdings keine Rückmeldung habe - auch keine negative, 
weswegen ich davon ausgehe, dass auch die laufen. Vielleicht können sich 
die betreffenden Leute hier kurz äußern...!?

Irgendwo weiter oben müsste es ein Excel-Sheet von mir geben, das u.U. 
bei der SRAM-Auswahl weiterhilft.

Was meinst du mit Eingangskarten? Falls du die "Tastköpfe" meinst: davon 
habe ich die Daten.

Du kannst ja mal hier anfragen ob es weitere Interessenten gibt - 
vielleicht kommt eine weitere Sammelbestellung zusammen...



Bzgl. Software habe ich gerade heute zufällig mit einem der Entwickler 
geschrieben:
Die miniLA 0.x hat (derzeit noch) mehr Features als die wxMiniLA, wird 
allerdings kaum noch weiterentwickelt, da sie alle Features besitzt, die 
die Entwickler selbst brauchen.
wxMiniLA stammt von jemand anderem und hat eben solche Sachen wie 
Interpreter (noch) nicht implementiert.

PS: von miniLA 0.x gibt es seit kurzem eine neue Version...

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hallo,
erstmal ein grosses Dankeschön an Michael, für seine Vorarbeit.

da ich mich entschlossen habe den MiniLA nun doch nachzubauen
suche ich noch ein paar Leute die auch Interesse hätten.
Die im Anhang ausgeführten Preise sind für 25 Stück angefragt.
Wenn 20 Interessenten wären, auch nicht so schlimm, es gibt immer
Nachzügler, ich bestell dann für 25 Sätze.
Ich hab alle Bauteile in DL gefunden. Da die Einfuhr immer teurer
wird.
Einige Bauteile werde ich mit Segor Berlin abwickeln, da dieser
auf mein Nachhauseweg liegt.
Der LD... wird duch den Pinkompertibelen TS.. ersetzt.
Oszillator habe ich nur bei RS gefunden, alle anderen sind wohl
"vergoldet",also zu teuer.
Nicht sicher bin ich mir noch nicht mit den Bustreibern, die  wären bei 
Schukat auch zu haben, hÄtten aber nicht die gleiche Bezeichnung.

Kleinkran wie in den oberen Preislisten bei Reichelt

Vielleicht könnte Michael bei 20-25 LA's eine Platinenbestellung 
durchführen.

Grabber sollten evtl mit einer Digikey Sammelbestellung erfolgen.

Es können auch Einzelpositionen bestellt werden.

Alles würde meinerseits ordentlich mit Rechnungslegung erfolgen.


Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

der Elko 47µ kostet natürlich 0,09 €

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Michael

der CD74HCT4053M from TI
wäre bei Farnell (1103149)  > 10 Stück 0,28 € + Versand zu haben.

Wigbert

von Wolfgang R. (portside)


Lesenswert?

Hallo,

bin zu Zeit auch an der Entscheidung so ein Ding zu bauen. Allerdings 
mit einigen Änderungen. So  soll als CPLD ein Coolrunner-II  XC2C384-7 
rein damit das Kraftwerk etwas weniger heizt und eventuell die State 
Analyse mit ins CPLD gepackt werden kann . Nur USB und dann 
Spannungsversorgung über USB, am besten USB vom Rest mit UADM1400 
getrennt (Groundloops) und DC-DC Wandler.
Der Coolrunner im 144 Gehäuse hat bis auf 3-4 Ausnahmen das gleiche 
Pinout wie der XC95288. Trotzdem das Layout der Platine sollte neu 
gemacht werden und  0R Widerstandsbrücken die Auswahl des CPLD 
ermöglichen. Dann kann auch das grössere RAM verdrahtet werden. Bei 
Farnell gibts GS816032BGT-200 512k*32 mit 6.5ns flow through.

Zur Zeit experimentiere ich mehr mit der ISE Software.
Der nicht benutze Pin39 lässt sich beim XC95288 wohl als AD17 verwenden. 
Die ISE 10.1.02 zeigt dann immer noch 106MHz fSYSTEM wenn die 
Adresszähler der Firmware erweitert werden. Wenn ein Guru die Firmware 
überarbeitet passt es eventuell in einen XC2C256-6 dann sind 
wahrscheinlich mindesten 150MHz drin. Mir fehlen zur Zeit beim fitting 3 
Anschlüsse.

XC2C384-7 mit AD17 u AD18 hat fSYSTEM 116MHz und 240/384 Zellen belegt.

Tschüss Wolfgang

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Michael hat bei der Beschaffung der Platinen Unterstützung angeboten.
Ich hab mal die Dollarpreise(bei 25 Stück) beigefügt. Zum Platinenpreis
kommt noch der Platinenversand vom Ausland und dann noch die Mwst. zu.
Ich nehme dann die Bestellungen an und leite sie zu Michael weiter.

Multiplexer können beide oben angeführten Typen beschafft werden.

Der Treibertyp SN74LVC244ADB in DIP ist auch beschaffbar, wobei der
laut DBL:
Inputs Accept Voltages to 5,5V
tpd 5,9ns
etwas langsamer als der o.g.ALCV Typ, sollte aber gehen.
Vielleicht kann mal jemand über die Liste schauen.

Wigbert

von Christian K. (christian_rx7) Benutzerseite


Lesenswert?

Hallo,

@Michael:
Danke ich hab das mit der Software jetzt kapiert. ;-)
Mit Eingangskarten, meinte ich die irgendwo oben beschriebene 
Schutzbeschaltung und Pegelanpassung der Eingangssignale, die auf eigene 
Platinen ausgelagert wurde.

@all:
sucht mal bei Ebay nach LA1016 und seht euch die Software an, ich glaub, 
dass ich mir den kaufen werde, gebe euch desswegen noch bescheid.
Was haltet ihr von dem Teil?
Ich denke mal für mein AVR Gebastel und mal ein paar "Fremde" Signale zu 
entschlüsseln dürfte es auch reichen.?

Danke,
Christian

von M. K. (kichi)


Lesenswert?

@ Christian
Von den besagten Platinen kannst du die Daten haben; Wigbert möchte auch 
davon welche bestellen, siehe "Platine Treiber" in seinem Excel-Sheet.

Der LA1016 wird halt (höchstwahrscheinlich) teurer als der MiniLA sein. 
Keine Ahnung was dir am wichtigsten ist. Über die Qualität und Funktion 
(vom LA1016) kann ich aber kein Urteil abgeben.

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Vielleicht mal eine Gesamtkostenrechnung.
ohne Optional, sieht es ähnlich wie bei Michael aus

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
noch mal zu den Treiber-IC

Michael verwendet SN74ALVC244DWR. Nach Seiner Aussage: Eingänge sind 5V 
Tolerant, bei 3,3V Ub was ich auch glaube.
wo steht das im DBL?

In Wiki stand in etwa:
74LVC244A A ist wichtig für 5V Eingangstoleranz,
ein paar Zeilen weiter: bei 3,3V Ub sind die Eingänge sowieso 5V 
Tolerant.

Jetzt bin ich verwirrt. Kann mich mal ein Guru aufklären?

Wigbert

von M. K. (kichi)


Lesenswert?

@ Wigbert
ich habe deine Mail nicht richtig gelesen bzw. nicht hinterfragt. Du 
hast natürlich recht: der ALVC hat keine 5V-toleranten Eingänge, sondern 
nur der LVC. Deswegen hatten wir auch den LVC genommen (siehe Digikey 
296-8501-5-ND).

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Michael,

kein Problem, das ist eben der Vorteil: Nur !!! wenn man selbst
baut, setzt sich mit der Problematik auseinander.

Hatte wohl eine falsche Liste.
Übrigens die Reichelt Preise haben sich um ein paar Cent seit damals 
verändert,
Die Reichelt-Links sind OK. Werde die auch noch auf meine Liste
übertragen.
Die Grabber sind Ansichtssache. Ich persönlich werde mir die
"bunten" von Digikay vom Weihnachtsmann bringen lassen.



Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Ich hab jetzt noch in der Teileliste die farbigen Grabber und die
Reichelt-Links eingefügt

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hiermit müssten die Links funktionieren.

Wigbert

von Basti (Gast)


Lesenswert?

ich hätte auch interesse!!!

NUr habe ich noch 2 fragen:

Wären die 94€ ein komplett preis die ALLE bauteile beinhalten und würde 
ich die dann nach hause geschickt bekommen oder fehlen in dem set noch 
irgendwelche bauteile (stichwort hühnerfutter). Wäre mir auch egal ob es 
110€ kostet ich will nur ungern noch bei 10 anderen versendern 
bestellen.


Die andere frage wäre: kann ich den CPDL programmieren? oder sind 
irgendwelche besonderen kenntnisse nötig?
Zur zeit programmiere ich nur AVRs via ISP.


Liege ich richtig wenn ich mir das so vorstelle:


ich überweise ~100€ und bekomme einen bausatz und kann nun mittels 
Lötkolben den LA fertig aufbauen und in betrieb nehmen (löten sollte 
nicht das problem sein)?

von eSBeO (Gast)


Lesenswert?

Der FT 2232 L wird bei Reichelt als nicht mehr lieferbar ausgewiesen. 
Welcher Schaltkreis kann als Ersatz eingesetzt werden?

eSBeO

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Basti

-94,46 € wären mit Westfalia Grabber. Wobei wie oben erwähnt die
Grabber nicht jedermann Sache ist. Sollten genug interessenten
an farbige Grabber sich finden würde eine Digikey Sammelbestellung
stattfinden, bzw im Oktober erfolgt hier im Markt eine Digikey
Sammelbestellung.
Wer alles haben will, kriegt alles von mir. Ob ich nun meine Teile
bei Reichelt usw. 1x bestelle oder x mal ist mir egal.
Hühnerfutter ist auch mit dabei. Sollte alles komplett bei sein.

-Die Versandkosten zu Dir kommen noch hinzu.
Kommt ja auf Paketgrösse an.

-Die CLPD's sind nicht vorgesehen programmiert auszuliefern.
Es sind Programmer-Bauteile mit bei. Die paar Teile einfach auf eine
Universalplatine aufbauen. Vielleicht entwerfe ich auch ein Board und 
lege es bei. Sollte nicht schwerer sein wie ein AVR zu Proggen.
MiniLA hat eine Testfirmware für die Hardwarefunktion beigefügt.
Nun wer absolut nicht klar kommt wird sich auch eine Lösung finden.
-Der Eprom muss auch noch programmiert werden, mit Ponyprog o.ä.
auch kein Problem.


Einfach meine Teileliste nehmen, wenn Du was nicht willst streichen,
und an Elektro-Picht(ät)gmx.de zurück

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@eSBeO
der FT 2232 L wird von Segor beschafft (Teileliste)

Wigbert

von Basti (Gast)


Lesenswert?

bis wann wollt ihr eine bestellung machen?


klingt ansich wirklich gut... wie viel würde das hühnerfutter ausmachen?

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Ist doch schon bei Reichelt Hauptteil mit drin (Teileliste PDF).

Bestellung in 14 Tagen, dann sollten sich die meisten entschieden haben.
Länger wollte ich nicht warten.

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Basti
Das Eprom lässt sich natürlich auch über den FT2232 mit Hilfe
MPROG von FTDI proggen.

Wigbert

von basti (Gast)


Lesenswert?

ahh jetzt hab ich die sache mit den reichelt links verstanden....gut 
also sind es 94 plus versand, variert je nachdem welche grabber man 
nimmt.

klingt wirklich gut, wie sieht´s mit netzteil und gehäuse aus?

von Christian K. (christian_rx7) Benutzerseite


Lesenswert?

Hallo,

ich bin auf jedenfall dabei, meine Bestellung bekommst du am Wochenende.
An den Digikey Grabbern wäre ich auch interessiert.

Bei den Platinenpreisen, sollten wir auch gleich einen 
Programmieradapter mitbestellen.

Ich bin derzeit auch am überlegen, ob es nicht sinnvoll wäre zusätzlich 
zu den Treiberplatinen für die 5V Eingänge, auch eine zu machen die bis 
zu 24V aushält.
Sollte doch mit Komperatoren und invertierenden Schmitt Trigger 
problemlos möglich sein, dann könnte man einfach die Ansprechschwelle 
einstellen. Das diese Lösung nicht die schnellste wird, ist mir klar, 
aber würde mich nicht stören.

Gute Nacht,
Christian

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Basti,
>klingt wirklich gut, wie sieht´s mit netzteil und gehäuse aus?
auf die Frage habe ich gewartet.
Netzteil: Steckernetzteil, Trafo, da Gleichrichtung und Spannungsregler
auf dem Board ist.
Gehäuse wird wohl erst was werden wenn mein Gerät funktioniert,da die
Treiber auch ran müssen.

@Cristian einfach meine TeilePDF zurücksenden.
wenn alle ein Programmieradapter wollen, wird das Board mal 
durchgerechnet.

Wigbert

von Guido (Gast)


Lesenswert?

Hallo Wigbert,

in deiner Tabelle ist ein Zahlendreherbei den Treibern:
4 * 0,49 = 1,96

Der Unterschied ist zwar nicht riesig, ...

Guido

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

@ Guido
Dank Dir.
Hab das Korrigiert.

Wigbert

von Andreas P. (andypre)


Lesenswert?

Klingt sehr interessant, auch die ca. 100 Euro sind günstig.
Eigentlich sollten für den Organisator mindestens die Kosten für einen 
Bausatz als kleine Aufwandsentschädigung rausspringen. Ich würde 
vorschlagen, diese in der Kalkulation auf alle Teilnehmer umzulegen.

Bzgl. den Grabbern: Ein vernünftiges Arbeiten steht und fällt mit der 
Kontaktierung, hier sparen ist keine gute Idee. Die XKM-Grabber kenne 
ich vom LogicPort LA1034 und kann sie empfehlen.
Aufgrund der farbigen Kabel auch gut zu unterscheiden. Fehlen diese, 
machen farbige Klemmen durchaus Sinn. Ich vermute mal, die Rede ist hier 
von dem Satz XKM-S, korrekt ?
http://search.digikey.com/scripts/DkSearch/dksus.dll?Detail?name=461-1012-ND 
?

Die Frage wäre, wie ist die Kontaktierung der Grabber geplant ?
Mittels Kontaktfedern mit passendem Innendurchmesser ?

Ideal wäre ein Pfostenstecker mit Kabeln wie z.B. beim LA1034:
https://www.pctestinstruments.com/order.htm

Allerdings passt diese Variante aufgrund des Steckers leider nicht zu 
den Einzelsteckern des miniLAs.

Bei Bedarf kann ich das Verbindungskabel und/oder XKM-Grabber als 
Anschauungsmuster zur Verfügung stellen.


@Wolfgang Rapp:
Wie weit ist Ihr Redesign gediehen ?
Ohne mir den aktuellen miniLA im Detail anzuschauen, klingt das durchaus 
sinnvoll. Vorallem die Idee, einen Teil der Vorverarbeitung im PLD zu 
machen, halte ich für sehr sinnvoll. Neben der Speichererweiterung, mehr 
schadet hier nie.
Das miniLA-Projekt scheint mir momentan der beste Kandidat für einen 
semi-professionellen Analyzer zu sein. Damit würde es sich in diese 
Richtung bewegen. 50 Euro mehr wiegen die gesparten Nerven locker auf...


Bzgl. der Frage nach dem LA1034 weiter oben: Ein wirklich gutes und auch 
preisgünstiges Gerät, speziell zur Analyse schneller Signale. Aber die 
Speichertiefe von 2048 samples ist trotz Komprimierung eine Katastrophe. 
Ausreichend zur Aufzeichnung z.B. einiger Buszyklen, aber nicht für eine 
mittellange I2C-Kommunikation (bei einigen Dutzend Byte ist Schluss). 
Das ist zwar nicht der primäre Sinn eines LAs, sollte aber m.E. nach 
trotzdem gehen.
Ebenfalls fehlt die Möglichkeit, ein oder zwei Signale als 
Triggersignale zu verwenden, z.B. um ein Oszi anzuklemmen.


Zur Bestellung: Ich wäre dabei, vorbehaltlich einer verbesserten 
Variante seitens Hrn. Rapp im Laufe der nächsten Monate. Ich habs nicht 
eilig, daher lieber gleich richtig.


Danke für die Mühe,
  Andy

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Andreas
>Eigentlich sollten für den Organisator mindestens die Kosten für einen
>Bausatz als kleine Aufwandsentschädigung rausspringen.
Das würde wohl den Bausatz-Kostenrahmen sprengen.
Ja ich meine den XKM-S Grabbersatz. Über den Anschluss hab ich mir
noch keine Gedanken gemacht, da in der Regel Treiber nachgeschaltet
werden. Danke Dir für die Hinweise.
Für mich ist das der 2.LA den ich aufbaue. Wenn der mir nicht reicht,
baue ich eben noch einen weiteren auf. Was solls. Wer auf bessere 
Projekte wartet, wird ewig warten, da es immer was neues gibt.

@ all
Zwischenzeilich ist trotz meines "hochmodernen" ISDN Anschlusses,
das ISE- Webpack auf mein Rechner Installiert. Interessenten die
den Umgang mit des Software nicht kennen, sollten schon mal üben.

Ich habe mir zwischenzeitlich auch schon erlaubt, ein Programmer-Board
zu entwerfen und wird auch mit dem Bausatz alternativ angeboten werden.

Die ersten Vorbestellungen sind bei mir eingegangen.
Dank Euch.
Als Termin für den Start der Sammelbestellung habe ich mir Ende des
Monats (Sept.08) vorgemerkt.

von Michael K. (Gast)


Lesenswert?

Zur Verbindung Grabber-miniLA habe ich mir bei Segor 2,54mm Kontakte (FL 
K/gold) und Gehäuse (FL 1T) gekauft und selber Kabel gemacht. Kann ja 
bei Bedarf auch in die Sammelbestellung aufgenommen werden.

von Matthias S. (mat-sche)


Lesenswert?

Moin Moin,

nach dem ich mich durch gelesen habe, will ich auch umbedingt mir den LA 
nachbauen, ich bin dabei!
Wie gehts nun weiter, wer uebernimmt das ganze?

Gruss MAT

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Matthias S.

nehm meine Teile PDF und streich, was nicht gebraucht wird.
Schick mir dann eine Mail, ich melde mich darauf.

Wigbert

von Guido (Gast)


Lesenswert?

> Interessenten die
> den Umgang mit des Software nicht kennen, sollten schon mal üben.

Warum, man muss doch nur das richtige Jedec-File in Impact laden,
oder?

Im Ernst: Ich habe damit auch schon rumgespielt, aufgrund der
"vielen Kommentare" in den Quellen aber nicht viel kapiert.
Habe alles einwandfrei bauen können, ob es aber was brauchbares
war, kann ich halt nicht beurteilen. Wäre schön, wenn mal
jemand eine kleine Anleitung schreiben würde.

Guido

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Guido (Gast)

http://www.ulrichradig.de/
Base Kit Xilinx CLPDs
Ausarbeitung CPLDs / VHDL

Wigbert

von Guido (Gast)


Lesenswert?

Danke Wigbert,

ich kenne mich schon etwas aus, kann aber nicht überblicken,
was alles und in welcher Form (z.B. bei der Timebase)
benötigt wird.

Auch: was war das was Frank Link geändert hatte? Der hat
wohl seine Domain verloren?

Guido

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Guido (Gast)

eigentlich wird ja für das Programmieren der jed- Datei nur das Tool
IMPACT benötigt.
Das Zusammenspiel teste ich demnächst mit mein Programmer.

Wigbert

von Stephan H. (stephan-)


Lesenswert?

nur für Euch zur Info,
nachdem ich die XKM Grabber von EZ Hook mal einem Mitarbeiter von Segor 
gezeigt habe und meinte sie wären über Digikey zu beziehen ist Segor bei 
Digikey gelistet. Also könnt ihr die Grabber auch bei Segor bestellen. 
Nur mal so, wegen Zoll und so...
Und die Dinger sind echt ge**

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Stephan Henning
Dank Dir für den Hinweis, dem ich auf jeden Fall nachgehen werde.

Wigbert

von Guido (Gast)


Lesenswert?

@ Wigbert: Ja klar, und das geht für mich auch problemlos,
da ich einen USB-SVF-Player habe.

Aber: welches JEDEC-File? Was ist mit dem von Frank Link
und gibt es das noch irgendwo?
Was haben die "Vorbauer" aufgespielt, hat jemand das JEDEC
aus den Quellen selbst gebaut (außer Frank Link) und welche
Dateien hat er hierzu inkludiert?

Eilt nicht, die Bestellung kommt ja erst, es sollten hier
aber doch Erfahrungen vorliegen.

Guido

von Guido (Gast)


Lesenswert?

Nochmal @ Wigbert:

Planst du noch was mit den Grabbern, dann warte ich mit der
Liste noch? Sonst würde ich persönlich die von Display3000
nehmen, sind zwar schwarz aber sonst wohl gut und preiswert.

Gruß Guido

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Guido (Gast)
Du meinst die USB Fehlfunktion?. Meines Wissens wurden nicht 
funktionierende ..4053 verwendet. Dashalb wurde die Firmware verändert.
Mit den richtig gefundenen ..4053 lief auch die orginal Firmware.
Ist zwar seltsam, was an den Dingern anders sein soll, wurde Oben schon
mal beschrieben.
Den Link kann ich auch nicht finden.

Grabber waren ja alternativ. Es waren auch ursprünglich schwarze von
Digikey dabei, nehm ich dann doch mit auf.

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Gehard

Gerhard, ich habe am 9. Sept. eine Mail über "www.comwebnet.de Mailer"
von Dir bekommen.
Ich kann leider nicht auf diese Mail antworten.
Bitte noch mal eine Nachricht schicken

Wigbert

von Jim (Gast)


Lesenswert?

diese Grabber (http://www.shop.display3000.com/pi21/pi28/index.html)
sind die gleichen Grabber wie bei Digikey, allerdings sogar fast 20% 
günstiger als bei 
Digikey(http://search.digikey.com/scripts/DkSearch/dksus.dll?Cat=2294774;keywords=xkm).
Display3000: 1,59 Euro zzgl. 19% Mwst
Digikey: 1,89 zzgl. 19% EUSt plus evtl. Zoll?

Aus Erfahrung kann ich sagen: die XKM Grabber lassen sich sehr gut Kabel 
anlöten unddie Plastikfläche ist groß genug um kleine Aufkleber oder 
farbige Punke aufzubringen. Diese XKM Grabber sind wirklich Spitze.

Jim

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Jim
ich hab das schon notiert und lass mich gerne leiten.
Bei Digikey hätte ich keine Versandkosten (Bestell ich notfalls halt nur 
4 Positionen)
Lieber wäre mir noch Segor(Selbstabholung), konnte das aber am Telefon 
nicht so recht klären und fahre dort nächste Woche persönlich mit ran.

Wigbert

von Peter M. (pmahlknecht)


Lesenswert?

Hallo,
ich hab schon einen Mini-LA, wäre aber an ein paar Treiberplatinen 
interessiert. Sind das die Platinen für die SN74LVC244 in DIP? Kannst du 
die Daten von den Platinen hier reinstellen?

Grüße Peter

P.S. hab den Mini-LA mit einem CY7C1361B-133AC SRAM gebaut, funktioniert 
bisher einwandfrei.

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

@Peter Mahlknecht

>Sind das die Platinen für die SN74LVC244 in DIP
ja

Anbei eine Datei mit Schaltung und Board

Wigbert

von Peter M. (pmahlknecht)


Lesenswert?

Danke, hab dir eine PN geschrieben.

von Frank L. (franklink)


Lesenswert?

Hallo Guido,
bin im Augenblick unterwegs, ich stelle die Dateien aber heute Abend 
nochmals ein.

Gruß
Frank

von Frank L. (franklink)


Lesenswert?

So, ich habe alle Sourcen und Dateien nochmal hochgeladen.

Die Dateien stehen in der Codesammlung unter minila bereit.

Eigentlich wollte ich die Software weiterentwickeln, habe aber aus 
beruflichen und familiären Gründen einfach keine Zeit mehr.

Wer Interesse hat, kann sich diesem Projekt gerne annehmen. Für Fragen 
und Hilfe, stehe ich gerne zur Verfügung.

Gruß
Frank

von Guido (Gast)


Lesenswert?

Danke Frank,

da haben wir ja was zum stöbern und lernen.

Guido

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
ein kurzer Zwischenstand:

Mittlerweile sind 11 MiniLA vorgemerkt.
Treiberplatinen ähnlich.

Noch ein Hinweis:
Wer auch den externen Trigger nutzen möchte, wird 5 Treiberplatinen
benötigen. Denn nur wenn alle Signale gleich verzögert werden, stimmt
die Darstellung wieder.
Programmerplatinen sind in etwa 5 angemeldet, dafür lohnt es sich meiner
Meinung nicht, Werkzeugeinrichtungskosten zu zahlen.
Die werde ich in DL machen lassen und werden chem.Verzinnt und gebohrt
für 5,40 weitergegeben werden. Der Prototyp des von mir gerouteten
Programmers teste ich diese Woche noch.

Am 29.09.08 sind alle Auftragsbestätigungen zu den Interessenten
per Mail gesendet. Länger warte ich nicht.

Um den Preis der MiniLA- u. Treiberplatinen zu halten, werde ich
auf eigenes Risiko einige mehr bestellen. Kommt immer ein Nachzügler.
Sollte jemand nur Interesse an Platinen haben auch OK, dann bitte bald 
melden.
Die Teilesätze später zu beschaffen geht immer da ich doch regelmässig
Bauteile bestelle.

Versand ist vom Empfänger zu tragen und richtet sich nach Wohnort
und Bestellumfang.


Wigbert

von Guido (Gast)


Lesenswert?

So,

meine Grabber von Display3000 sind angekommen. Relativ schnell
gegangen. Es sind, wie erwartet, Originale von E-Z Hooks, alle
in schwarz. Kontaktieren kann man sie mit Einzelstücken von
einer einreihigen Buchsenleiste mit Kelchfederkontakten. Der
günstige Preis wird stark erhöht durch Versandkosten von
7,90 Eur. Das lohnt sich wohl nur, wenn Wigbert sie in die
Sammelbestellung mit aufnimmt.

Guido

von Ales (Gast)


Lesenswert?

Hi,
I'm interested in buying a kit with all parts (especially hard-to-get 
parts) and PCB. Myscha wrote at Czech mailing list that somebody 
organize a group buying. Can I join?
Thanks. Ales Chlubny

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Guido
ich frag da Montag an , wie die Rabattstaffelung ist. Wobei wohl
die meisten bunte haben wollen.

@Ales
I send you E-Mail with one List

Wigbert

von Andreas (Gast)


Lesenswert?

Hallo, was würde das Gerät denn mitlerweile Kosten?

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Andreas

http://www.mikrocontroller.net/attachment/39542/Teile1.pdf
+ Platine Programmer 5,40€

ich ändere da auch nichts mehr, auch wenn woanders die Börse
zusammenfällt.

von Andreas (Gast)


Lesenswert?

Ist der Preis inkl. Platine, also Kompl. ?

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Andreas
ursprünglich war keine Programmerplatine geplant.
Aber da einige Bausatzbesteller und ich natürlich sowas brauchen,
biete ich sie im Nachhinein mit an.
Du müsstest noch 5,40 zum Bausatzpreis zuaddieren.

Wigbert

@ all
Anfang der nächsten Woche schicke ich jeden noch mal eine Liste,
wo die nicht benötigten Teile auf 0 gesetzt sind.
Bitte notfalls noch mal korrigieren und bis 28.09.(Sonntag) zu mir
mit Versandadresse zurücksenden.
Am 29.9 verschicke ich die Rechnungen mit meinen Daten.

Wigbert

von Hauke R. (lafkaschar) Benutzerseite


Lesenswert?

Bei einer Programmierplatine wär ich auch dabei wenns geht, den miniLA 
hab ich immer noch hier unprogrammiert rumfliegen weil mein gepfusche 
nicht funktioniert hat (mein Programmer ^^)

Sag mal bescheid ob das möglich ist!

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Hauke Radtki

Kein Problem mit der Platine.
Schon notiert.
Schick mir eine Mail, um eine Kontaktadresse zu haben.

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Vielleicht noch ein paar Worte zum Programmer:
Da ich einige Mails über Fehlfunktionen ihrer Programmer bekommen
habe, wurde mein Board für evtl."Gegenmassnahmen" erweitert.
Ich habe auch vorsorglich bei Farnell einige 74HC125 geordert
mit höherer Geschwindigkeit nach DBL. Sind allerdings teurer. (1€)
und könnten notfalls im nachhinein getaucht werden.

Mein Prototyp hat allerdings mit Reichelt 74HC125(Bausatz) den CPLD
sofort erkannt.
Das bunte Kabel lege ich den Programmer-Bausatz mit bei, das CPLD 
Experimentierboard natürlich nicht.

Bild könnte besser sein

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

besseres Bild vom Programmer.
Die Bausatzplatinen sind natürlich aus Bungard Epoxydmaterial.

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Es müsste jetzt jeder eine Exel-Liste mit seinen bestellten
Bauteilen erhalten haben. Bitte prüfen und eventuell korrigieren.

Am 29.09 sollten die Rechnungen dann raus gehen.

Ich gehe von max. 2 Wochen Lieferzeit nach Zahlungseingang aus.

Wigbert

von Frank L. (Firma: Privat) (fgl)


Lesenswert?

Hallo, bin hier neu und möchte mal anfragen ob auch sich noch 
dazugesellen kann wenn man auch so einen Bausatz haben möchte?


grüße

  Frank

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Frank Lukas

schick mir eine Mail, mit Angabe der Bauteile, damit ich Dir diese
Woche noch eine Exelliste zukommen lassen kann.

Wigbert

von Christian B. (chrisbe)


Lesenswert?

Hallo allerseits,

ich würde mich noch gerne eurer Sammelbestellung anschließen. Ist das 
noch möglich so kurz vor Toresschluss? Habe mir aus den bisherigen 
Beträgen schon mal die Materialliste fertig gemacht. Könnte ich also 
sofort liefern.

@Wigbert

Ich hatte dir gestern schon eine kurze Mail zukommen lassen, bin mir nur 
nicht sicher, ob das so angekommen ist.

Danke und Grüße

Christian Bellin

von Heiner D. (heinerd)


Lesenswert?

moin moin,
ich habe auch großes interesse an dem LA, bitte bestellt mir einen mit.
viele grüße
heiner

von M. K. (kichi)


Lesenswert?

Wigbert ist erst am Wochenende wieder erreichbar. Also nicht wundern 
wenn die Antwort etwas auf sich warten lässt.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Heiner D.
schick mir eine Mail, ich schick Dir die Exelliste.

@Michael K.

Danke fürs entschuldigen. Manchmal muss ich auch ein paar Brötchen
verdienen.

@All
Ich hab mir schon ein paar Gedanken zum Aufbau gemacht.

Wir haben den LA die Treiber und die Grabber.
Und wie verbinden? Es würde Flachbandkabel fehlen.
Bevor nun die Hälfte ohne Kabel dasteht bin ich der Meinung:
Pro Treiber sollte ein 16pol farbloses Flachbandkabel
mit 2 Stecker Länge = 20cm und
ein buntes 16pol Flachbandkabel Länge =35cm mit Stecker,
für die Grabberseite und evtl.Grabberanschlüsse mit beigelegt werden
Das ganze sollte dann Kabelsatz heissen.
Ich stell den Preis Wochenende hier noch rein, und würde alle,
die Ihre Bestellung bestätigt haben, das noch per Mail kurzfristig
anbieten.

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Christian Bellin

hab Dir nochmal eine Liste geschickt

Wigbert

von Guido (Gast)


Lesenswert?

Hallo Wigbert,

die FL K/Gold hast du IMHO um den Faktor 10
zu teuer berechnet. Ich verstehe es so, dass
1000 St. 48 Eur kosten.

Gruß,

Guido

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

@Guido
sieht so aus, anbei die korrigierte Liste. Sorry.

Wigbert

Es fehlen noch ein paar Bestellbestätigungen

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

wer keine Arbeit hat, macht sich welche...
es sollte jetzt jeder, der die Bestellbestätigung an Bauelemente-Treiber
abgegeben hat, eine korrigierte Liste des Kabelsatzes im Postfach 
finden.
Wenn noch jemand interesse am Kabelsatz hat, bitte die
MiniLA-Kabelsatz.xls bearbeiten und mir kurzristig übersenden.

Der Bestellvorgang des Bausatzes MiniLA sollte am 01.10.08
abgeschlossen sein. Bis 2.10 erhält jeder seine Rechnung

Wigbert

von Matthias R. (mnr)


Lesenswert?

Hallo,

von wem fehlen dir konkret die Bestätigungen? Nicht das da ein paar 
eMails hängen geblieben sind.

Grüße,
Matthias

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Matthias R.

Namen nenne ich hier nicht.
>Nicht das da ein paar eMails hängen geblieben sind.
nicht ganz aus der Luft gegriffen.

Hattest Du am 24.09. angefragt?

dann fehlst Du.

Ich schreibe sicherheitshalber am Dienstag die paar fehlenden Leute 
nochmal an.

Wigbert

von Matthias R. (mnr)


Lesenswert?

> Hattest Du am 24.09. angefragt?

Ja, habe am 25.09. geantwortet (und jetzt nochmal, irgendwann klappt das 
mal...)

Grüße,
Matthias

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Matthias R.

Du hast Post.

Wigbert

von Christian K. (christian_rx7) Benutzerseite


Lesenswert?

Hallo Wigbert,

ich hab dir am 23.9. meine Bestellung geschickt und da sie anscheinend 
nicht angekommen ist, hab ich´s gerade noch 2x versucht.
Falls nichts angekommen ist, meld dich bitte nochmal.

Danke,
Christian

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.