Forum: Markt [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen


von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,

zwischenzeitlich sollten zumindest in DL jeder sein Paket haben.
Ein paar Leute hatten die Ankunft gemeldet, den Rest werte ich als:
unbeschädigt angekommen.

Es hatten sich noch ein paar Interessenten gemeldet,
aber, bei den MiniLA-Platinen sind wohl keine übrig.
Sorry, die Namen hab ich mir wenigstens vorgemerkt.

Die nächste Sammelbestellung wird es nicht vor dem 4.Quartal
dieses Jahres geben. Es waren mir diesmal schon zu wenig Teilnehmer
(das sag ich wohl immer).Ein paar Ideen, was noch zu verbessern wäre,
schlummern auch schon in mir.

Wigbert

von Philipp (Gast)


Lesenswert?

Ich habe meinen sogar schon zusammen gebaut: Und er läuft.



Kennt jemand eine Möglichkeit, wie man das SRAM testen kann?

Ich hatte meinem ein kleines....Einbauproblem....Und will jetzt sicher 
gehen, dass es voll ansprechbar ist und funktioniert.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Kennt jemand eine Möglichkeit, wie man das SRAM testen kann

mit dem Testcode. Die LED blinken unterschiedlich schnell.
Die Drahtbrücke zu Pin 43 wurde gemacht?

Wigbert

von Philipp (Gast)


Lesenswert?

hehe....welche drahtbrücke?



Das SRAM wird mit der Blinkfirmware auch getestet?

Ich meine: Einen Kontakt mit der miniLA-Firmware kriege ich hin. Auch 
wenn ohne angeschlossene Proben teilweile 1 und teilweise 0 gemessen 
wird...

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>hehe....welche drahtbrücke?

falls Samsung K7B803625B verwendet wird: sicherstellen dass Pin 43 nicht 
floatet (z.B. mit GND, Vcc oder Pin 44 verbinden)

wird gerne vergessen, ich muss mal auf der CD schauen...

mess doch einfach mit den Eingangspins nacheinander +5V dann GND
und lass das ausgeben

von Guido (Gast)


Lesenswert?

Hallo Philipp,

wenn du die Treiberplatinen angeschlossen hast, kannst du deren
Eingänge kurz nach Vcc bzw. GND legen (mit Prüfspitze antippen).
Durch die Mitkopplung merken sie sich den Pegel und du kannst
ihn am Rechner überprüfen.

Gruß, Guido

von Philipp (Gast)


Lesenswert?

Ja, ok. Werde ich tun.

Das mit den JTAG-Brücken habe ich auch erst spät gerafft ;-)

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

auf der CD:
minila\hardware README2_v1.txt

Wigbert

von Philipp (Gast)


Lesenswert?

Ach, die Grabber habe ich noch gar nicht konfektioniert...;-)

Aber das ist gut, dass du das sagst. Die Treiberplatine legt 00101000 
(oder so) an die Eingänge, da muss ich mir keine Sorgen machen.

Aber hier ists sonnig, jetzt wird erstmal gegrillt. Danke an Alle!

von N. S. (sharpay)


Lesenswert?

Hallo,
meine Teile sind auch gestern angekommen, Vielen Dank.
Ich habe einen Kühlkörper bekommen, den ich nicht bestellt habe.

Gruß Norbert

von M. K. (kichi)


Lesenswert?

>hehe....welche drahtbrücke?
Ich habe den Artikel eben auch dahingehend ergänzt.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Ich habe einen Kühlkörper bekommen, den ich nicht bestellt habe

jein, ich hab jeden ein KK mit reingelegt, wobei der wohl noch zu
bearbeiten wäre, sonst stören Lötbrücken.

Betrachtet das als "Entwicklungshilfe" , war der kleinste den ich
kriegen konnte und bin eigentlich für Montagevorschläge offen.
Irgendwo war bei Fischer... ein Bild.



Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

und das Bild

Wigbert

von Philipp (Gast)


Lesenswert?

Wo soll der denn hin?

Auf den Spannungsregler?

Der wird bei mir gar nicht übermäßig warm, und das wäre das wärmste Teil 
auf dem Board.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Wo soll der denn hin?

ja, war eine Vorsichtsmassnahme von mir,
kommt auch an, welche Last der Spannungsregler verbraten muss.

Wigbert

von Tiger1602 (Gast)


Lesenswert?

Hallo Wigbert,

läuft super !!!

Pin 43 am RAM hatte ich auch erst vergessen.

Nochmals Vielen Dank an  Alle !

von Hellmuth R. (cat)


Lesenswert?

Hallo Wigbert,

ein großes Dankeschön auch von mir für all Deine Beschaffungsmühen und
den akribisch zusammengestellten, individuell verpackten und obendrein 
noch
mundgerecht ergänzten Bausatz !

Gruß   Hellmuth

von Philipp (Gast)


Lesenswert?

Noch eine klitzekleine peinliche Frage:

Wo kriege ich Infos, wie das mit der Triggerplatine funktioniert?

Also Vor oder hinter der Probe-Platine und muss ich was beim Ansprechen 
beachten?

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Noch eine klitzekleine peinliche Frage:

finde ich nicht, und ich sag es zum 3.Mal: "ein Königreich für ein
deutsches Handbuch".
Sinn der Platine war ein externer Ereignisstart u.s.w.

Wenn mal jemand von den Profis....

Wigbert

von Philipp (Gast)


Lesenswert?

Jo, das deutsche Handbuch wäre toll.

Aber, ok, dann verstehe ich, wie's gedacht ist...

von Philipp (Gast)


Lesenswert?

So, die Kabel habe ich jetzt auch konfektioniert, Pin 44 verbunden:

Und es scheint zu laufen.

Habe gerade auch mal testweise in eine PWM reingeschaut: Perfekt.

DANKE für die Hilfe!

von Andreas N. (Firma: ISE FH Dortmund) (andimateur)


Lesenswert?

Hallo!

Schade das ich erst jetzt diesen ausgibigen Thread entdecke. Sieht ja so 
aus als ob die Bestellung auf diesem Weg dank Wiegbert problemlos 
verläuft.

Gibt es noch eine Möglichkeit auf diesem Kanal an Teile zu kommen? Oben 
steht ja schon das die Platine wohl soweit nicht mehr verfügbar ist aber 
wie siehts mit dem SRAM und dem DLCP, was ja anscheindend die 
schwieriger zu bestellenden Bauteile sind.

Wär ich nur mal vor 2 Monaten hier drauf gestoßen, hätte ich auf jeden 
Fall einen Komplettbausatz mitbestellt. Wäre klasse wenn trotzdem noch 
was klappen würde.

LG Andreas

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,

>Sieht ja so
>aus als ob die Bestellung auf diesem Weg dank
>Wiegbert problemlos verläuft.

S-Rams , Spezialteile sicher, CPLD hätte ich auch eine Adresse in DL
aber eben nicht so günstig wie in einen Bausatz.

Wigbert

von Andreas N. (Firma: ISE FH Dortmund) (andimateur)


Lesenswert?

wäre nicht so tragisch, wird über meine FH laufen. Lötet ihr diese 
Bauteile selber ein? Ich bin nicht so erfahren mit Lötarbeiten und vom 
Blick auf die Platine würde ich sagen DLCP und SRAM wird zu klein für 
mich.

Aber über eine deutsche Adresse würde ich micht schonmal freuen, so das 
wir hier erstmal einen "Prototyp" bauen können. Wenn der in unserem 
Labor gut läuft wollen die andern sicher auch und dann können wir bei 
der nächsten Sammelbestellung mitmachen.

LG Andreas

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Andreas Nawrath (Firma: ISE FH Dortmund) (andimateur)

also Platinen sind wirklich keine übrig und Ja Du musst alleine
löten

Wigbert

von Andreas N. (Firma: ISE FH Dortmund) (andimateur)


Lesenswert?

So war das nicht gemeint, ich wollte die Arbeit nicht auf euch abwälzen. 
Es war mehr die Frage ob ihr das bei euren Platinen mit einer normalen 
Lötstation macht oder ob es da eine spezielle Technik für gibt bzw. ihr 
das (für eure Platine) eine Firma mit spezieller Hardware machen lasst.

Wo in Deutschland würde man denn die Teile (wenn auch teurer) bekommen?

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?


von deg (Gast)


Lesenswert?

nabend,
bin heute auch endlich dazu gekommen die Platinen zu bestücken und zu 
löten. Leider ist der Spannungsregler defekt, keine ahnung wie das 
gekommen ist. Habe das Board zum Testen mal direkt aus dem Labornetzteil 
gespeist und ein paar Kanäle auf GND gezogen, scheint soweit alles zu 
funktionieren.

Kann mal jemand kurz erklären wie die Grabberkabel zu konfetionieren 
sind?

Gruß

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

>Leider ist der Spannungsregler defekt

warum auch immer , schick mir eine Mail, ich muss sowieso ein Paar
noch besorgen.

>Kann mal jemand kurz erklären wie die Grabberkabel zu konfetionieren
sind?

Die Grabberleitengen werden aufgetrennt, so das die Prüfleitungen
ca 30cm lang sind. Dort wurden die GND-Drähte auch abgeschnitten.
Statt dem Schrumpfschlauch nimmst Du die orginal FL1T Gehäuse

Wigbert

von Michael L. (michaelx)


Lesenswert?

Hallo.

Ich bin auch an einem Selbstbau-LA interessiert, aber wie es aussieht, 
habe ich wohl die letzte Sammelbestellung knapp verpasst. Schade, hab 
wohl zu spät hier her gefunden.

Ein paar Überlegungen hätte ich noch, um das Design "abzurunden", und 
das Ganze auch mal in ein Gehäuse packen zu können:

1. Aufsteckbare 2. Platine, über welche die "hinteren", senkrechten 
Buchsen an den Rand geführt werden, ebenso die Status-LEDs.

2. Ankopplung von LPT-Port und USB über einen XC9536XL (kostet ja kaum 
was, und andere Teile entfallen), damit das Jumperfeld entfallen kann. 
Dabei sollte für die Inbetriebnahme die Möglichkeit erhalten bleiben, 
die ursprüngliche Schaltung direkt über LPT zu betreiben. Also die 
Ankopplung auch auf die 2. Ebene verlegen.

Was haltet ihr davon?

Grüße. Michael

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Ein paar Überlegungen hätte ich noch, um das Design "abzurunden

nur zu ,
aber bedenke : Der Bausatz kommt an fast 200 Euro jetzt schon ran.
Ich Befürchte, wenn der noch teurer wird, kauft den keiner mehr.
LPT wird meiner Meinung kaum benutzt und ist auch für Inbetriebnahme
nicht erforderlich. Mit dem Steckern für die "Meßstrippen stimme ich zu.
LED, na ja , da könnte man was machen.

Mal sehen, wann sich genügend Interessenten für eine weitere
Bausatz-Sammelbestellung finden. Bis dahin denke ich über einige
Veränderungen nach.


Wigbert

von Arno (Gast)


Lesenswert?

Hallo zusammen,

bin gerade dabei die Probes meines MiniLA's zu löten.
Bin mir jetzt nicht sicher was für Widerstände R17-R24 sind. In der 
Stückliste steht 47 Ohm aber im Artikel steht das man dort 100k Ohm 
einlöten soll. Was ist denn jetzt richtig?

Gruß Arno

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

R17-R24 müssen 100K Ohm sein.
Die CD ist da nicht ganz korrekt

Wigbert

von M. K. (kichi)


Lesenswert?

Ich habe den Artikel dahingehend ergänzt.

von Marius B. (majus)


Angehängte Dateien:

Lesenswert?

Hallo,
mein Analyzer ist auch endlich aufgebaut!
Weitere Bilder, etc. gibt es auch noch hier: 
http://majus.homeip.net/?page_id=619
Zum Beispiel habe ich die Grabber etwas anders aufgebaut...

Vielen Dank nochmal an Wigbert und Michael!
Marius

von Philipp (Gast)


Lesenswert?

Jau, ich habe auch Plexiglas genommen.

von Jörg H. (idc-dragon)


Lesenswert?

Auch ich habe den Thread erst nach Einsendeschluß entdeckt. Schade, ich 
hätte auf jeden Fall mitgemacht. Falls noch mal so was in der Art 
zustandekommt hebe ich schon mal den Finger...  ;-)

Jörg

von Philipp (Gast)


Lesenswert?

GANZ wichtiger Hinweis:

Ich habe es gerade mit einem 5V-Netzteil probiert.

Ja, das funktioniert, auch das Anschauen des Ports geht. Nur leider sind 
die Messwerte, die später ausgelesen werden, alle 0.

Das CPLD funktioniert wohl gerade jo bei den 2,4 V die dann hinten 
rauskommen und kommuniziert auch mit dem Rechner, das SRAM ist 
allerdings da noch nicht funktionsfähig.

Nur, falls jemand den gleichen Fehler macht und sich auch wundert..

von Bernd (Gast)


Lesenswert?

Also mein MiniLA scheint auch zu funktionieren :-) Ein großes Dankeschön 
an Wigbert.

Jetzt muß ich mir noch etwas zum Gehäuse einfallen lassen.

von Bernd (Gast)


Lesenswert?

Eine Probe ist jetzt auch fertig.

Ich finde, daß die Crimpkontakte nicht besonders fest in dem Grabber 
sitzen. Das verbessert sich deutlich, wenn man eine Phase an das Gehäuse 
des Kontaktes anbringt (an der Stelle, wo das Gehäuse zuerst an den 
Grabber stößt). Geht mit einem Skalpell ganz gut.

von Bernd (Gast)


Lesenswert?

ups, ich meinte natürlich Fase.

von Michael G. (linuxgeek) Benutzerseite


Lesenswert?

Marius B. schrieb:
> Hallo,
> mein Analyzer ist auch endlich aufgebaut!
> Weitere Bilder, etc. gibt es auch noch hier:
> http://majus.homeip.net/?page_id=619

Sieht fast aus wie meiner ;) Aber ich hab mir die innenliegenden 
Wannenstecker nicht verbaut (frei gelassen).

von Martin L. (martin_l)


Lesenswert?

Hallo MiniLA´ler,

auch ich habe mein Board fertig aufgebaut und bin in am Testen, aber ich 
möchte es nicht versäumen, mich ganz herzlich bei Wigbert und Michael 
für ihre Arbeit zu bedanken.

Ciao und Gute Nacht
Martin

von Peter S. (morris)


Lesenswert?

Ich habe auch Interesse an einem Bausatz oder an Platinen für MiniAL und 
Treiber.

Peter

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Peter S. (morris)

ich glaube vor Spätherbst hat eine weitere Sammelbestellung kaum
Sinn. Urlaub mit Familie... usw.
Wenn es hier an Interessenten purzelt, hake ich dann schon nach.

Wigbert

von M. K. (kichi)


Lesenswert?

Der CPLD des miniLA kann auch über USB programmiert werden. Eine 
Anleitung dazu findet sich im Artikel: 
http://www.mikrocontroller.net/articles/MiniLA#CPLD-Programmierung

von Christian H. (netzwanze) Benutzerseite


Lesenswert?

Ich melde mich auch mal für eine Sammelbestellung an.

Bisher habe ich nämlich lediglich den CPLD ausfindig machen können.
Von SRAM für Hobbyisten keine Spur.

Gibt es eventuell das Layout auch als Target- oder Eagle-Datei?
Sollte ich doch noch vor einer geplanten Sammelbestellung an die Teile 
kommen, würde ich eventuell auch noch einige Layoutänderungen (weiß noch 
nicht was, könnte aber sein) durchführen wollen.

Ich habe aber keine große Luste, noch ein Layoutsystem zu installieren 
und den Umgang damit zu erlernen.

Wenn jemand aktuelle Quellen für die Bauteile (RAM; CPLD; Multiplexer) 
hat, bitte her damit.

von M. K. (kichi)


Lesenswert?

>Gibt es eventuell das Layout auch als Target- oder Eagle-Datei?
Nicht die Version aus den "hiesigen" Sammelbestellungen, sondern nur die 
Ursprungsversion ohne USB (siehe Homepage).

>Wenn jemand aktuelle Quellen für die Bauteile (RAM; CPLD; Multiplexer)
>hat, bitte her damit.
CPLD und Muxer müsste es bei Digikey geben, RAM ist immer so ne Sache. 
Erst mal kommt es darauf an was du bereit bist auszugeben. Es gibt bei 
Digikey durchaus auch passende Typen - meistens kosten die aber relativ 
viel.

Alternativ kannst mal auf alten Mainboards oder P3-CPUs schauen, ob da 
was passendes dabei ist.

von Peter S. (Gast)


Lesenswert?

Habe inzwischen noch einen Bausatz erhalten. Was mir noch fehlt, sind 
die Treiber SN74LVC244ADB. Hat jemand eine Quelle?

Peter

von Michael K. (Gast)


Lesenswert?

>Hat jemand eine Quelle?
Ich hatte die von Digikey.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Christian H. (netzwanze)
@Peter S. (Gast)

ein paar Teile hätte ich auf Lager, schickt mir eine Mail.

@all

wenn doch alle Naselang Interessenten an einen Mini-La Bausatz
auftauchen, könnte ich mich schon fast mit einen Shop anfreunden.
Müsste das mal mit Michael besprechen.

Wieviel Interessenten sind denn jetzt?

Wigbert

von Günter J. (gjung)


Lesenswert?

Hallo,

falls da noch mal eine Sammelbestellung zusammenkommt,
oder jemand (Wigbert?) einen Bausatz anbietet
wollte ich schon mal mein Interesse bekunden.

Gruß,
Günter

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
also Platinensätze, bin ich fest entschlossen, die nächsten 4 Wochen
machen zu lassen (im Zusammenhang mit einer anderen Bestellung)
Ich denke man, ein paar Bausätze zusammenzustellen, sollte auch
kein Problem sein.

Wigbert

von Schwups... (Gast)


Lesenswert?

Was soll denn aktuell ein vollständiger Bausatz
(Platine, Bauteile) kosten?

von Justus S. (jussa)


Lesenswert?

Schwups... schrieb:
> Was soll denn aktuell ein vollständiger Bausatz
> (Platine, Bauteile) kosten?

wollte ich auch grad fragen :)

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

>Was soll denn aktuell ein vollständiger Bausatz
>Platine, Bauteile) kosten?
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"
ich gehe mal davon aus, das sich das NICHT wesentlich ändern wird.
Im Gegenteil, der Programmer wird zwar weiter angeboten, aber
für den CPLD zu Proggen nicht mehr gebraucht.
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"

Wigbert

von B. B. (bib)


Lesenswert?

Hätte auch Interesse!

von Schwups... (Gast)


Lesenswert?

>Im Gegenteil, der Programmer wird zwar weiter angeboten, aber
>für den CPLD zu Proggen nicht mehr gebraucht.

Warum nicht? Ist der CPLD schon gebrannt?

Leider nutzten mir die Links nichts, bin immer noch so schlau
wie vorher. Warum wird die Exelliste nicht als Anhang veröffentlicht?
Ist doch am einfachsten, oder? Mich interessieren die Kosten.

von pcb (Gast)


Lesenswert?

>Warum nicht? Ist der CPLD schon gebrannt?

Darum: "Der CPLD des miniLA kann auch über USB programmiert werden. Eine
Anleitung dazu findet sich im Artikel:
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen";

von Schwups... (Gast)


Lesenswert?

>Darum: "Der CPLD des miniLA kann auch über USB programmiert werden. Eine
>Anleitung dazu findet sich im Artikel:

O.k. kann ich nachvollziehen, Danke. Bleiben nur die Kosten noch offen.

von Bernd (Gast)


Lesenswert?

ein Gehäuse würde mich noch interessieren.

von Philipp (Gast)


Lesenswert?

Geh und kauf dir eines.


Oder nimm zwei Plexiglasscheiben und ein paar Schrauben.


bei dem 100€-OLPC-Laptop macht das Gehäuse z.B. die Hälfte der Kosten 
aus. Hier wären es wahrscheinlich wegen der Stückzahl mehrere 100 %...

von Michael K. (Gast)


Lesenswert?

>Bleiben nur die Kosten noch offen.
Lies mal den 4. letzten Post vor deinem. Da steht doch was.

>Oder nimm zwei Plexiglasscheiben und ein paar Schrauben.
Die Version gefällt mir persönlich nicht ganz schlecht. Wobei ich meinen 
noch immer ohne jegliches Gehäuse und nichtmal mit Gummifüßen 
betreibe...

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Schwups... (Gast)

Das verstehe ich jetzt nicht?
in den Links ist doch die Preisliste(PDF) da,bzw das Proggen erklärt.

Soll ich jeden 2. Beitrag die Preisliste mit anhängen?

Wigbert

von Wigbert P. (wigbert) Benutzerseite


Angehängte Dateien:

Lesenswert?

Und die Exelliste noch mal

Wigbert

von Thorsten E. (nietzsche)


Lesenswert?

Also ich hätte auch ernsthaftes Interesse an einem Bausatz... Für die 
nächste Bestellung meld ich mich schon mal an :)

von Schwups... (Gast)


Lesenswert?

@Wigbert

Danke für die Exelliste.
Kann man die Grabber und einige andere Bauteile weglassen?
(Ich hab da unter anderem noch ein Riesenhaufen Hirschmann
Grabber (Minikleps oder so)rumliegen und bräuchte die teuren
Dinger dann nicht).

Muß ich angemeldet sein wenn ich mitmache will oder kann ich
direkt Kontakt per Mail mit dir aufnehmen?

von Schwups... (Gast)


Lesenswert?

>Das verstehe ich jetzt nicht?
>in den Links ist doch die Preisliste(PDF) da,bzw das Proggen erklärt.

Sorry, hatte nur den Text gelesen und den Anhang gar nicht
beachtet, daher die Irritation, mein Fehler.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
noch mal zu den Grabbern(Testclips).
Es kann  ausgewählt werden ob farbige, schwarze von Hook,
oder Günstige.

Der Sinn, der Liste ist, es kann jeder bestellen kann, was er möchte.
Also das "volle Paket" oder nur Einzelteile. Bauteiltüten wie
Hauptteil, Bauelemente Treiber oder Programmer gibt es nur komplett.
(Macht kein Sinn 3 Widerstände raus zu nehmen, o.ä.)

Ich lass mal die Anfragen ein paar Tage hier laufen, und fordere
dann alle Interessenten auf, mir per Mail die Kontaktdaten zu schicken.
(Noch kann ich mitzählen)

Wigbert

von Иван S. (ivan)


Lesenswert?

Wigbert Picht-dl1atw schrieb:
>
> Ich lass mal die Anfragen ein paar Tage hier laufen, und fordere
> dann alle Interessenten auf, mir per Mail die Kontaktdaten zu schicken.
> (Noch kann ich mitzählen)

Hallo Wigbert,

gerne würde ich mitbestellen, leider bekomme ich mein Geld erst am 
Fünfzehnten. Wird die Bestellung vorher abgesandt?

LG, Iwan

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Иван S. (ivan)

So schnell geht das alles auch nicht.


Wigbert

von Michael L. (michaelx)


Lesenswert?

Hat schon mal einer darüber nachgedacht, einen ADC wie z.B. den MAX1198 
(2 Kanäle, je 8Bit und 100 MHz) anzuschließen? Damit könnte man den 
MiniLA auch als 2-Kanal-Oszi + 16-Kanal-LA oder auch als 4-Kanal-Oszi 
benutzen. Leider scheint es den MAX1198 nur bei DigiKey (ist allerdings 
nicht ganz billig) zu geben ...

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@Michael L. (michaelx)

nehmen wir mal an der Max 1198 ist beschaffbar.

Firmware? Software?

Wigbert

von Majus (Gast)


Lesenswert?

Die Firmware sollte die gleiche bleiben können...
Lediglich die Software müsste etwas erweitert werden. Aber da die 
Schnittstelle zum MiniLa offen liegt, sollte das ein kleinerers Problem 
darstellen (Auch wenn ich persönlich dazu keine Zeit hätte).

Gruß
Marius

von Marius B. (majus)


Lesenswert?

Die Firmware sollte die gleiche bleiben können...
Lediglich die Software müsste etwas erweitert werden. Aber da die
Schnittstelle zum MiniLa offen liegt, sollte das ein kleinerers Problem
darstellen (Auch wenn ich persönlich dazu keine Zeit hätte).
Erst wenn die Daten wirklich ausgewertet werden sollen, wird es 
kompliziert. (Sprich wie Plotte ich was, Zeit- oder Frequenzbereich, 
berechne Mittelwerte, berechne Rauschen, etc. was man halt braucht)

Zur Hardware: Am einfachsten wäre es wohl ein kleines Zusatzmodul zu 
bauen welches auf die schon vorhandenen Stiftleisten des LA geht.

Gruß
Marius

PS: Sry war nicht eingeloggt....

von Michael X. (Firma: vyuxc) (der-michl)


Lesenswert?

Die Idee mit dem Oszi gabs schon mal. Problem ist nur daß 100Ms/s eine 
gut geroutete Platine brauchen, man ein analoges Frontend braucht und 
dann noch eine funktionsfähige Triggereinheit dranpfriemeln muß. Das ist 
erstmal HW.
Dazu kommt die SW-Seite. Wer setzt es um? Für welches System?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

hat schon jemand minila mit groesseren ´SRAM getestet,

z.b. sowas wie CY7C1471V33 ( 2Mx36 ) oder CY7C1441AV33 ( 1Mx36 ) ?

von Jörg H. (idc-dragon)


Lesenswert?

Oh, hier tut sich ja was in Richtung Beschaffung, kaum daß ich mal 'nen 
Monat nicht reingucke. ;-) Nachdem ich die vorige Runde verpasst habe 
möchte ich diesmal aber dabei sein.
Gib' mir ein wenig Zeit, mich mit dem Projekt vertraut zu machen, um 
rauszufinden welche Optionen ich möchte. Geht aber in Richtung 
vollständiger Teilesatz.

Jörg

von M. K. (kichi)


Lesenswert?

Thomas R. schrieb:
> hat schon jemand minila mit groesseren ´SRAM getestet,
>
> z.b. sowas wie CY7C1471V33 ( 2Mx36 ) oder CY7C1441AV33 ( 1Mx36 ) ?

Am CPLD sind nur noch 2 Pins frei - Maximum wäre also ein 512k x 36.

Michael X. schrieb:
> Die Idee mit dem Oszi gabs schon mal. Problem ist nur daß 100Ms/s eine
> gut geroutete Platine brauchen, man ein analoges Frontend braucht und
> dann noch eine funktionsfähige Triggereinheit dranpfriemeln muß. Das ist
> erstmal HW.
> Dazu kommt die SW-Seite. Wer setzt es um? Für welches System?

Aktuell müsste das sogar schon funktionieren, dass auf einen bestimmten 
Pegel getriggert wird - allerdings sehr unkomfortabel.

Bzgl. überarbeiteter SW gab's ja schon ein paar Anläufe, leider aber nie 
irgendwelche Ergebnisse...

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Michael K. schrieb:
> Thomas R. schrieb:
>> hat schon jemand minila mit groesseren ´SRAM getestet,
>>
>> z.b. sowas wie CY7C1471V33 ( 2Mx36 ) oder CY7C1441AV33 ( 1Mx36 ) ?
>
> Am CPLD sind nur noch 2 Pins frei - Maximum wäre also ein 512k x 36.
>

was hat das damit zu tun ? das ist kein async sram, die adressierung ist 
eher soft as hardware unterscheid.

von Michael K. (Gast)


Lesenswert?

Es hilft aber alle SW nichts, wenn es keine Pins gibt um die Adresse 
dann auszugeben, oder irre ich mich da?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Michael K. schrieb:
> Es hilft aber alle SW nichts, wenn es keine Pins gibt um die Adresse
> dann auszugeben, oder irre ich mich da?

diese art vom sram wird anders adressiert, hier ist die anzahl der 
'steuerleitungen' gleich bei 'allen' groessen - siehe datasheet

von M. K. (kichi)


Lesenswert?

Thomas R. schrieb:
> Michael K. schrieb:
>> Es hilft aber alle SW nichts, wenn es keine Pins gibt um die Adresse
>> dann auszugeben, oder irre ich mich da?
>
> diese art vom sram wird anders adressiert, hier ist die anzahl der
> 'steuerleitungen' gleich bei 'allen' groessen - siehe datasheet

Ohne mich jetzt großartig damit beschäftigt zu haben, würde ich sagen, 
dass Pin 38 und Pin 39 (CY7C1471V33, TQFP100) je nach Größe NC sind oder 
eben schon belegt sind.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

die frage war eigntlich ob schon jemand schon versucht hat - das würde 
mir evt. vhdl anpassungen ersparen, never mind.

@Michael K.

No Connects. Not internally connected to the die. NC/9M, NC/18M, NC/36M, 
NC/72M, NC/144M, NC/288M, NC/576M, and NC/1G are address expansion pins 
that are not internally connected to the die.

von M. K. (kichi)


Lesenswert?

Thomas R. schrieb:
> @Michael K.
>
> No Connects. Not internally connected to the die. NC/9M, NC/18M, NC/36M,
> NC/72M, NC/144M, NC/288M, NC/576M, and NC/1G are address expansion pins
> that are not internally connected to the die.

OK - Punkt für dich. Nichtsdestotrotz gibt es beim CY7C1471V33 21 
Adressleitungen gegenüber 17 bei einem 128k-Typen...

von Thomas R. (tinman) Benutzerseite


Lesenswert?

:)

keine ahnung was ich da gesehen/gezähl habe, irgendwie waren die 2 freie 
+ ADSC pin ( verschwendung in meinen augen ) ausreichen in meinen augen 
für 2MB - reicht aber leider nur für 1MB, mindestens solange man den 
CPLD nimmt.

von kratzer (Gast)


Lesenswert?

Die Grabber bei Westfalia sind ja echter Schrott. Wieso sagt mir dass 
hier keiner? Ich kann mich in den A... beißen, dass ich sie gekauft 
habe. Grrrr.

Diese XKM Grabber dagegen sind genail. Übrigns gibt es hier: 
http://www.shop.display3000.com/elektronik/messgeraete/pruefklemme.html

einen guten Tipp (nach unten scrollen) zum Basteln von Steckern für die 
XKM Grabber. Das ist wirklich praktisch.
Andi

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@kratzer (Gast)

>Die Grabber bei Westfalia sind ja echter Schrott,

was will man für den Preis verlangen, wobei ich habe beide Sorten
und arbeite da mit. Die Hook haben allerdings bessere Messspitzen

Wigbert

von Philipp (Gast)


Lesenswert?

> Die Grabber bei Westfalia sind ja echter Schrott.

1.: Es wurde schon vorher verkündet, dass die nicht der Bringer sind.
2.: Geh mal auf westfalia.de, die Seite steht wohl auf einer Ebende mit 
Pearl.de und Pollin.de

Was erwartest du für den Preis?

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
zwischenzeitlich sind einige Mini-LA Bausatzanfragen.
Aber noch zu wenig für eine Sammelbestellung. Vielleicht
besteht mehr Interesse in den Herbstmonaten

Wigbert

von nurso (Gast)


Lesenswert?

Hallo Wigbert,
ich mache auch bei der sammelbestellung mit.

Gruß

nurso

von Jörg H. (idc-dragon)


Lesenswert?

Ich bin draußen.
Die Software hat mich gelinde gesagt nicht begeistert, da habe ich mir 
einen USBee bestellt. Viel langsamer und viel weniger Kanäle, aber 
reicht mir erstmal.

von Gast (Gast)


Lesenswert?

Hätte auch Interesse an einem Bausatz.
Wie ist der Stand der Dinge (Hardwarestand+ Preis)?

von Rene H. (Gast)


Lesenswert?

Wäre auch dabei.

von Ch D. (chrisu) Benutzerseite


Lesenswert?

ich auch.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
wären jetzt 5 Bausätze?
na, ich beobachte mal weiter.

Wigbert

von Daniel (Gast)


Lesenswert?

ich hätte interessa an den tastköpfen, oder was gab es damals
für externe Platinen?
Ich meine damit ich auch nicht 3V Signale sicher loggen kann :-)

 Gruß Daniel

von Ralf K. (Gast)


Lesenswert?

Hallo Wigbert,

ich würde auch bei einer Sammelbestellung mitmachen.
Wieviele Bestellungen müssen denn zusammenkommen, damit sich dein 
Aufwand lohnt ?

Viele Grüße

Ralf K.

von Sepp H. (seppl1)


Lesenswert?

Hallo,
mangels Zeit zum Basteln verkaufe ich meinen miniLA.
Die Platine wurde professionell bestueckt (unter Mikroskop, PCB 
gewaschen) und sauber in ein Gehaeuse eingebaut. Es ist alles 
funktionsfaehig, inkl. 4 Tastkoepfen und Grabbern.
Preisvorstellung: 200 Euro + Porto.

Bei Interesse bitte bei mir melden, Fotos kann ich dann verschicken.
Der miniLA kann auch gerne persoenlich abgeholt und getestet werden 
(Reutlingen).

Gruss,
Matthias

von phil (Gast)


Lesenswert?

geht es hier noch weiter?

meine frage, kann ich hardware technisch diesen ram verwenden?
http://de.farnell.com/gsi-technology/gs816032bgt-200/18m-synch-burst-sram-512kx32-smd/dp/1447526?Ntt=GS816032BGT


512x32, die platine würde ich zurnot neu machen, aber hat der CPLD genug 
ports?
die software sollte nicht das problem sein,

falls interesse besteht, schreibe gerade eine software in java, immoment 
kann sie nur über seriell daten empfanen, sollte aber mit dem usb ic 
auch nicht das problem sein, für die software brauch ich aber noch eine 
weile bis sie wirklich fertig ist.

gruß philip

von M. K. (kichi)


Lesenswert?

phil schrieb:
> geht es hier noch weiter?
Von mir aus nicht. Im Moment jedenfalls. Ich weiß nicht ob Wigbert sich 
einmal für eine Sammelbestellung erbarmt wenn genug Leute zusammen 
kommen.

> meine frage, kann ich hardware technisch diesen ram verwenden?
> http://de.farnell.com/gsi-technology/gs816032bgt-2...
Irgendwo weiter oben habe ich mal ein Excel-File eingestellt in dem in 
Frage kommende RAM aufgelistet sind.

> 512x32, die platine würde ich zurnot neu machen, aber hat der CPLD genug
> ports?
Soweit ich das noch in Erinnerung habe, sind noch 2 Pins am CPLD frei. 
Ich hatte diesbezüglich auch schon einmal bei den Entwicklern angefragt. 
Da wurde mir aber gesagt, dass für solche Spielchen zu wenig Gatter im 
CPLD frei sind.

von Wigbert (Gast)


Lesenswert?

>Ich weiß nicht ob Wigbert sich
>einmal für eine Sammelbestellung erbarmt wenn genug Leute zusammen
>kommen.

ich sag mal: Jein.

Man bedenke: So ein Bausatz beschäftigt mich ca 50 Stunden und
die, die einen bekommen haben wissen warum.

Unter 20 Teilnehmer zwecklos für eine Sammelbestellung.

Wigbert

von Chris (Gast)


Lesenswert?

Wenn 10 Leute zusammenkommen, würde ich ne Sammelbestellung machen,
sprich brauche einen, also noch 9 Leute.

von Wigbert (Gast)


Lesenswert?

Hi,
unter 25 CPLD's wäre der Preis bei Digikey nicht haltbar.
Das war immer der Ausgangspunkt

Aber immer ran.

Wigbert

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Michael K. schrieb:
> phil schrieb:
>> geht es hier noch weiter?
> Von mir aus nicht. Im Moment jedenfalls. Ich weiß nicht ob Wigbert sich
> einmal für eine Sammelbestellung erbarmt wenn genug Leute zusammen
> kommen.
>
>> meine frage, kann ich hardware technisch diesen ram verwenden?
>> http://de.farnell.com/gsi-technology/gs816032bgt-2...
> Irgendwo weiter oben habe ich mal ein Excel-File eingestellt in dem in
> Frage kommende RAM aufgelistet sind.
>
>> 512x32, die platine würde ich zurnot neu machen, aber hat der CPLD genug
>> ports?
> Soweit ich das noch in Erinnerung habe, sind noch 2 Pins am CPLD frei.
> Ich hatte diesbezüglich auch schon einmal bei den Entwicklern angefragt.
> Da wurde mir aber gesagt, dass für solche Spielchen zu wenig Gatter im
> CPLD frei sind.

Designs generieren geht schon (ise 10.1) :

Stateanalysis mit 1M sram nimmt 246/288 (86%) Macrocells
Timeanalysis mit 1M sram nimmt 231/288  (81%) Macrocells

Die 3 pins die dafür benutzt werden :
NET "sram_adr<17>" LOC = "P124";
NET "sram_adr<18>" LOC = "P125";
NET "sram_adr<19>" LOC = "P39";

Es könnte vllt auch mit 2M sram gehen (z.b. CY7C1471V33), dafür müssen 
die status LEDs ST1/ST2/ST3 mit 2 pins statt 3 auskommen, platz dafür 
ist auch noch da.

von Ch D. (chrisu) Benutzerseite


Lesenswert?

Wieviel würde denn dann ein miniLA kosten? (bei der Sammelbestellung)
und ist dann da alles dabei?

von Wolfgang R. (portside)


Lesenswert?

Thomas R. schrieb:
> Designs generieren geht schon (ise 10.1) :
>
> Stateanalysis mit 1M sram nimmt 246/288 (86%) Macrocells
> Timeanalysis mit 1M sram nimmt 231/288  (81%) Macrocells
>
> Die 3 pins die dafür benutzt werden :
> NET "sram_adr<17>" LOC = "P124";
> NET "sram_adr<18>" LOC = "P125";
> NET "sram_adr<19>" LOC = "P39";

Was sagt die clk Frequenz dazu? Immer noch 100MHz

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfgang R. schrieb:
>
> Was sagt die clk Frequenz dazu? Immer noch 100MHz

naja, auf die schnelle gemacht ist es schon, pad to pad delay ist 10ns 
statt 7ns, max clock hat sich aber nicht geändert.

Natürlich wäre besser den board und design anzupassen auf jeweilige 
(groessere) SRAM. Solche SRAMs sind allerdings "etwas" teuer, von daher 
nicht für jeden interessant.

Allerdings ist der CY7C1471V33 (2Mx36) schon zu gross, die ansteuerung 
passt nciht mehr (preis sowieso nciht).

Alles was noch (ohne getestet zu haben) passen würde ist ein GS816032BGT 
(wie von phil vorgeschlagen 512x32) oder max. der CY7C1441AV33 (1Mx36), 
wobei man darf nciht vergessen das ein 1Mx36 so 10 mal teurer ist als 
der K7B803625B (256x32).

Übrigens, was die CPLDs angeht, ich glaube ich habe noch welche da, kann 
morgen im Lager nachgucken falls interesse.

von Chris (Gast)


Lesenswert?

Sammelbestellung würde ich ohne Grabber machen, reinen LA (mit USB).
Wegen Driver/Pegelwandler, das muß ich mir noch überlegen, hätte 
prinzipiell nichts dagegen. Ich werde für mich noch einen Mica/Samtec 
Stecker draufmachen,
sowie einen µC, SPI Speicher und µSD Karte zum Loggen von ADC sowie 
einigen Bussen und/oder das Abspeichern der geloggten und getriggerten 
Samples auf einer SD-Karte. Das muß aber nicht bestückt werden, bzw 
könnte
auch später gemacht werden und abgesehen vom Mica/Samtec Stecker auch 
nicht
bei den Preisen berücksichtigt.
Zum Preis, das muß erst noch genauer geklärt werden, warscheinlich läuft 
es auf 10-12€ mehr hinaus, wobei mal 6€ für das Ram veranschlagt wurden.
Preis CPLD 6nS 27.3€ . Ein Spartan3AN 3nS würde 16€ kosten.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Chris schrieb:
> Ein Spartan3AN 3nS würde 16€ kosten.

Spartan 3AN wird keine 5V i/o mögen, siehe 
[Beitrag "Re: Mal wieder ein toter Spartan"]

Schnelle pegelwandler z.b. PO74G16244A sollten also beim Spartan auch 
benutzt werden.

" Potato Semiconductor’s PO74G16244A

. Operating frequency up to 1.125GHz with 2pf load
. Operating frequency up to 700MHz with 5pf load
. Operating frequency up to 300MHz with 15pf load
. Operating frequency up to 100MHz with 50pf load

Inputs can be driven from either 3.3V or 5V devices.
This feature allows the use of these devices as
translators in a mixed 3.3V/5V system environment"

Das sollte auch im ungünstigen fall ausreichend schnell sein, also noch 
3,50eur für 3 stk PO74G16244A 
(http://cgi.ebay.de/ws/eBayISAPI.dll?ViewItem&item=330229711043)

Wenn man also anfängt mit "was noch" wird es schnell neues projekt sein 
und nciht minila. Der XC95288XL ist i/o 5V zugelassen und viel 
"robuster" als Spartan3AN.

von Ch D. (chrisu) Benutzerseite


Lesenswert?

Chris schrieb:
> Zum Preis, das muß erst noch genauer geklärt werden, warscheinlich läuft
> es auf 10-12€ mehr hinaus, wobei mal 6€ für das Ram veranschlagt wurden.
> Preis CPLD 6nS 27.3€ . Ein Spartan3AN 3nS würde 16€ kosten.

Und wieviel würde alles zusammen(der ganze miniLA) dann kosten?

von Chris (Gast)


Lesenswert?

Ich bin von der Liste ausgegangen, welche ich auch noch 
durchkontrollieren
muß, Hauptteil, USB, Netzteil, <=82€ + Versand. inkl Bestückung der 
SMD-Bauteile.
Beitrag "Re: [S] Leute die einen Logic Analyzer (MiniLA) bauen wollen"
Treiber, Extern, Gehäuse muß ich mir noch überlegen.

von Chris (Gast)


Lesenswert?

@Thomas R. (tinman)
Wegen Spartan, ok das war eine Provokation meinerseits
Das mit den Levelconvertern war mir bewusst.
Hättest du eine Lösung, zum Einstellen der Triggerschwelle ?

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Chris schrieb:
> @Thomas R. (tinman)
> Wegen Spartan, ok das war eine Provokation meinerseits
> Das mit den Levelconvertern war mir bewusst.
> Hättest du eine Lösung, zum Einstellen der Triggerschwelle ?

hmm, diff. eingang lvds/lvttl transaltor, opamps, ecl diff buffer, 
comparators, da gibts einiges was man benutzen kann, auch die uralte 
lösung - jfet mit einstelbaren offset (dac am fpga) und dann auf ein 
schmitt-trigger (74G14) sollte gut genug sein für einige 100MHz.

von Chris (Gast)


Lesenswert?

Für USB, bein MiniLA,  FT2232H oder FT245R ? FT2232H kostet das 
doppelte.
Bitte um Antwort.

von Wolfgang R. (portside)


Lesenswert?

Chris schrieb:
> Für USB, bein MiniLA,  FT2232H oder FT245R ? FT2232H kostet das
> doppelte.
> Bitte um Antwort.
FT2232D

von M. K. (kichi)


Lesenswert?

Wenn ihr den FT2232D nehmt, könnt ihr euch einen zusätzlichen Programmer 
sparen und den CPLD via USB/FT2232D programmieren.

von Chris (Gast)


Lesenswert?

Der FT2232D (12Mbit) ist teurer (80 Cent) als der FT2232H(480Mbit), 
nähmlich
8€, der FT245R kostet 4.3€ . Abgesehen vom FT245R, wo man einen externen 
Kabel bzw Jumper sowie Stiftleisten für die Jtag programmierung braucht, 
geht es bei den FT2232X Varianten ohne HW Jumpern.

von phil (Gast)


Lesenswert?

mal sehen, was ich nun mache,
falls du noch einen CPLD hast, würde ich dir einen abnehmen!
@Thomas R.

schreibe gerade selber eine software in java zur auswertung am pc,
denke das ich mir dann einen 512x32 ram holen werde,
und ein eigenes board dann routen werde, aber auf basis von dem hier,
sind die eigendlich pin kompatibel? bis auf die fehlenden adress 
leitungen,
oder sind die anders?


gruß phil

von Thomas R. (tinman) Benutzerseite


Lesenswert?

phil,

da steht etwas über SRAM 
[http://www.mikrocontroller.net/articles/MiniLA]

es gibts auch eine excel-liste mit möglichen SRAMs

http://www.mikrocontroller.net/attachment/30530/sram3.xls

Ich würde trotzdem datasheets vergleichen an deiner stelle :)


@All

falls jemand sucht, habe die passenden (XC95288XL-6TQ144C) CPLDs da. Die 
sind natürlich neu und waren tray/folie gelagert. Kann davon max. 38stk 
verkaufen.

Der preis liegt beim 15 EUR/stk, plus versand (Brief). Falls gewünscht 
mit Rechnung/Mwst.

gruss

Thomas

von phil (Gast)


Lesenswert?

ich nehme 2 stück, geld könnte ich dir nächste woche zu kommen lassen
keks @ idf-mod.de

dann machen wir das darüber, dann gebich dir die adresse, und du mir 
deine konto daten, oder paypal, dann übereis ich dir das geld, kleines 
päckchen wär mir lieber :)

von phil (Gast)


Lesenswert?

http://de.farnell.com/gsi-technology/gs816032bgt-200/18m-synch-burst-sram-512kx32-smd/dp/1447526?Ntt=GS816032BGT

den habe ich gefunden, ist in der exel nicht drin,
falls einer weiss ob dieser auch geht, kann er sich ja melden,
ansonsten vergleich ich die kommenden tage das datenblatt,
wobei ich mich mit srams nicht so gut auskenne.

von chris (Gast)


Lesenswert?

Welche Speichertiefe je Kanal ist gewünscht, bezüglich Sram ?
ist 128K genug ?

@tinman
Kannst du mir mal 30 Stück reservieren, gebe dir dann am Dienstag die
definitive Zusage.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

chris schrieb:
>
> @tinman
> Kannst du mir mal 30 Stück reservieren, gebe dir dann am Dienstag die
> definitive Zusage.

klar, kann ich machen. phil will 2, du 30 also bleiben noch 6 die ich 
verkaufen darf.

von Chris (Gast)


Lesenswert?

Wenn jemand nur Platinen will, geht auch.

von Chris (Gast)


Lesenswert?

Hätte Jemand was dagegen, wenn ich den Parallelport streiche, bzw. nur
optional Bestückbar, und wer den will, muß es vorher melden, damit es
beim Liferanten mitbestellt wird.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Chris,

da du eh neues layout machst, würde ich persönlich sagen "kein LPT 
mehr".
Evt. stiftleiste (von mir aus 2mm oder 1.27mm) zwischen CPLD und FT 
machen, dann kann man den LPT draufstecken falls gewünscht.


Was SRAM angeht, ich persönlich würde die

NET "sram_adr<17>" LOC = "P124";
NET "sram_adr<18>" LOC = "P125";
NET "sram_adr<19>" LOC = "P39";

auch geroutet haben, mit lötpads damit man die SRAM-size wählen kann.

von Wigbert (Gast)


Lesenswert?

Hi,
ein 100 Mhz LA ungeprüft unter die Leute bringen?
Ich sehe das wenigstens so, das Ihr was Neues machen wollt.
Dann macht bitte ein neuen Thread auf.

Wigbert

von Chris (Gast)


Lesenswert?

Wigbert, es werden die Platinen von Bob gemacht, "nur" die USB Seite
wird aktualisiert (High Speed) sowie anderes Gehäuse , kein Quarz usw, 
der Osc wird eine andere Bauform bekommen, sowie anstelle des Osc auch 
ein
Koaxanschluß bestückbar (SMD Stecker).
Weiters wird es optional ein µC sowie µSD-Kartenhalter im Bereich der 
Parallelschnittstelle, bzw Alternativ zur Parallelschnitstelle bekommen, 
um die Samples langsam in ein Flash zu speichern, und dann 
weiterzusamplen, sowie unabhängig davon zwei ADC zu samplen (z.B. 
Temperatur und Spannung).
Soll ich einen neuen Thread aufmachen oder nicht ?
Wie gesagt, will 10 Stück produzieren. Weiters werde ich auch ein neues 
Design machen, das mitproduziert wird, aber das muß erst vorher getestet 
werden. Das wird effektiv ein anderes Projekt.
Die Platinen werden vertig aufgebaut, programmiert
 und getestet geliefert.

Was würdet ihr vorschlagen, um die Kanäle, sowei die Zeitverzögerungen 
der einzelnen
Kanäle  zu messen ? Sei es die einzelnen Pins haben unterschiedliche 
Laufzeiten, als auch die Leiterbahnen auf Bob´s Platine.
Was für ein Testsignal nutzen? Gemessen werden kann mit 1ns Auflösung. 
Dank ein paar Delay-Line kann im Einzelfall auch ein Bruchteil davon 
gemessen werden, um noch genauere Info zu haben.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wigbert schrieb:
> Hi,
> ein 100 Mhz LA ungeprüft unter die Leute bringen?
>


Auf Bobs design sind die leiterbahnen so unterscheidlich lang das es 
schon fast weh tut, original design sah auf dem ersten blick besser aus 
- ist aber genau so schlecht. Bei beiden designs war wohl optik und 
nciht funktion relevant.

Beide funktionieren trotzdem (ob das wirklich jemand mit 100Mhz getestet 
hat?), warum sollten dann ein 3 zusätzlich leiterbahnen für SRAM etwas 
verschlimmern ? Man kann es nur besser machen.

Da ich weder bei dem (Chris seinem) projekt beteiligt bin, noch selber 
ein starten möchte sage am besten nix weiter.

Wie gesagt, will jemand CPLDs haben - bitte melden, will jemand mit 
groesseren SRAMs getestet haben - bitte melden, davon habe einige da 
(bis 2Mx36).

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

Hi,
ich hab doch nichts dagegen das Ihr den MiniLA_Deluxe hinkriegt.

Sollte es aber ein völlig neues Teil werden, wäre der Thread hier
für Ersatzteilbeschaffung usw. unübersichtlich.

Wigbert

von Chris (Gast)


Lesenswert?

Ok, mache neuen Thread auf. Thomas, was für Rams hast du, zu welchen 
Preisen,
mich interessieren nur 36bit.

von Chris (Gast)


Lesenswert?

Derzeitige Sammelbestellung dann 
Beitrag "Sammelbestellung MiniLA"

von phil (Gast)


Lesenswert?

Rams je nach dem falls die auch gehen nehme ich auch einen 2Mx32!
sollte aber dann von der geschwindigkeit her auch okay sein,
den ram den ich bei farnell gefundne habe ist leider nicht in der exel 
datei,

die CPLDS nehme ich auf jedenfall 2 stück,
bitte gebe mir eine kontakt adresse das ich mich bei dir melden kann 
wegen cpld kauf, und eventuell ram kauf!

@ Chris
du willst die dinger anscheind komplett fertig verkaufen,
das wird sicher teuer!
ich bin student und bei mir sitzt es nicht so locker mit dem geld..
hab kein problem damit smd selber zu löten, und beim PCB kommts drauf an 
was es kostet,... ansonsten bau ich mir selber etwas, ich brauche nicht 
unbedingt 100mhz, wobei es gut wär wenn 100mhz gehen würden, da ich auf 
langer sicht auf andere controller mit mehr geschwindighkeit umsteigen 
wollte, immoment brauch ich nur 20-30mhz die ich abtasten kann,...
mal gucken, falls du das für nen erschwinglichen prei zusammen bekommst 
wär ich dabei, könnte sogar die LA software schreiben, also die windows 
seite,
VHDL versteh ich leider garnicht o.0 hab mal vom minila reingeschaut, da 
versth ich vielleicht 20% von, werde mir aber in den semester ferien 
VHDL mal anschauen, hast du icq?

gruß phil

von Chris (Gast)


Lesenswert?

Im anderen Thread (LA mit FTDI) gibt es auch eine Sammelbestellung, die
ist billiger. Zu den Bauteilkosten kommen 7€ noch drauf, was für 
Schablone,
Bestückung und sonstiges draufgeht + Versand. Du musst auch bedenken, 
daß ich
A) nicht so viel Zeit für den Support Investieren will wie Wigbert es
   hier bei falschem Zusammenbau usw aufgebracht hat und
B) es extrem teuer wäre, wenn ein Bauteil nachbestellt werden müsste, 
weil
   es durch Lötbrücken oder sonstiges abgeraucht ist, da dann mit
   Transportkosten sowie dem Einzelpreis gerechnet werden muß, was sonst
   wegfallen.

von Wigbert P. (wigbert) Benutzerseite


Lesenswert?

@ Chris

wenn ich das richtig verstehe, bezahlt jeder NUR 7 Euro mehr
für eine SMD bestückte und programmierte Platine.

Ich wäre froh gewesen, solche Kontakte zu haben.

Drück Dir beide Daumen für den Erfolg.

Wigbert

von mega-hz (Gast)


Lesenswert?

Hallo,

ich habe auch den MiniLA aufgebaut, allerdings habe ich das 
Platinenlayout mit NUR-LPT genommen, mit AS7C33128PFS32A Sram und 
XC95288XL PLD.
Alles ist so aufgebaut, wie auf der MiniLA Homepage.
Nun habe ich aber folgendes Problem:
Habe ich einen 100Mhz oder 80Mhz Quarzoszillator gesteckt, dann habe ich 
keine richtigen HI/LO Pegel mehr.
Beispiel: ein Taktsignal von 1.77Mhz liegt an Kanal 1 an, dieses sehe 
ich aber manchmal auch auf den Kanälen 9+17+25 und wenn HI sein sollte, 
sind viele kleine Impulse zu sehen, bei Lo oft genauso.
NUR wenn ich einen 32Mhz reinstecke, habe ich saubere Ergebnisse!
Ich habe schon versuchsweise dem 100 oder 80Mhz Osz. die 
Betriebsspannung von 5V anstatt 3.3V gegeben, da beide 5V Typen sind, 
gleiches Ergebnis.
Der MiniLA ist in einem abgeschirmten Gehäuse eingebaut, so daß 
Fremdeinstrahlung eigentlich nicht die Ursache sein können.
Es ist auch so, daß ich wilde HI/LO Pegel bekomme, wenn die "zu 
messende" Platine ausgeschaltet ist, dann sollte aber eigentlich alles 
auf LO sein.
Hat jemand ein ähnliches Problem oder eine Lösung dafür?

Gruß,
Wolfram.

von Alter MiniLA (Gast)


Lesenswert?

Poste mal die komplette Bezeichnung des XC95288XL-6 TQ144C

von Wolfram F. (mega-hz)


Lesenswert?

Hi,
XC95288XL TQG144AWN0729 F23038993A 7C steht drauf.

Gruß,
Wolfram.

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Wolfram Fischer schrieb:
> Hi,
> XC95288XL TQG144AWN0729 F23038993A 7C steht drauf.
>
> Gruß,
> Wolfram.

Laut ISE sind max. 66.67MHz beim 7C CPLD type, egal ob firmware_80 oder 
firmware_100

von Wolfram F. (mega-hz)


Lesenswert?

asooo, na dann erklärt sich das ja fast von selber!
Danke für den Tip!
Werds mal mit 66Mhz probieren...

Gruß,
Wolfram.

von Wolfram F. (mega-hz)


Lesenswert?

Habe nun ein 40Mhz drin, zwar ist die kleinste Auflösung nun 25ns, aber 
für meine Zwecke reicht es grade.

Wahrscheinlich müsste man die "Core-Spannung" wie beim PC übertakten 
auch beim PLD erhöhen... lach! :-)

Danke nochmals für die Info!

von Mike H. (-scotty-)


Lesenswert?

>Wahrscheinlich müsste man die "Core-Spannung" wie beim PC übertakten
>auch beim PLD erhöhen... lach! :-)

Ne, verringern. Je höher die Frequenz desto niedriger die Versorgungs-
spannung. So ist das auch beim PC.

von Wolfram F. (mega-hz)


Lesenswert?

Achso, naja, da kenn ich mich nicht so gut aus.
Aber ne sichere Sache wäre das glaube ich nicht..
Ich lass ihn erstmal mit 40Mhz laufen, wenn ich dann mal ein schnelleres
PLD brauche, dann 100Mhz.

Gruß,
Wolfram.

von malte (Gast)


Lesenswert?

Hallo,

ich hatte mir auch einst solch einen LA mitbestellt doch erst heute 
wollte ich die Software aufspielen.

Das hab ich gemacht:
- Per MProg den FTDI programmiert (ept Datei aus dem Software Thread). 
Seitdem wird der LA von XP als miniLA erkannt

Nun will ich das ganze per USB Programmieren:
- libusb installiert, zum Schluss den Haken bei "als Service nutzen" 
gesetzt
- mir von  http://minila.sourceforge.net/hw/other/bg/bg.php?id=hw die 
*.jed Dateien geladen
- die Batch Datei aus dem Artikel 
http://www.mikrocontroller.net/articles/MiniLA ausgeführt
Leider folgendes Ergebnis:
1
Which firmware would you like to programme?
2
1. Stateanalysis 2.2
3
2. Timeanalysis 1.7 20MHz
4
3. Timeanalysis 1.7 40MHz
5
4. Timeanalysis 1.7 80MHz
6
5. Timeanalysis 1.7 100MHz
7
x. Exit
8
9
Insert the corresponding number: 2
10
11
Release $Rev: 401 $
12
Free software: If you cContribute nothing, expect nothing!
13
Please provide feedback on success/failure/enhancement requests!
14
Check Sourceforge SVN for updates!
15
Using built-in device list
16
JTAG chainpos: 0 Device IDCODE = 0x59616093     Desc: XC95288XL
17
Erase still running 02
18
Device is blank
19
Programming Sector   0................................failed
20
Verify Sector   0
21
Mismatch at fuse      4: 0 vs 1
22
USB transactions: Write 91 read 39 retries 78
23
24
Press "m" to return to the menu and exit by pressing any other key:

An für sich scheint der FTDI zu funktionieren da ja der Xilinx erkannt 
wird. D2 leuchtet. Leider hab ich kein Oszi für den 100 Mhz Quarz, aber 
der Xilinx wird ja erkannt.
Ich habe als einzige Hardwareänderung eine Brücke von Pin 43 auf Pin44 
des SRAM gemacht.
Ich hab alle Brücken K9 zu K10 gesetzt bis auf USBD0..USBD3

Leider habe ich keinen LPT Programmer um gegen zu testen.

Grüße
Malte

von Michael K. (Gast)


Lesenswert?

Leider habe ich nicht wirklich Erfahrung mit CPLDs und deren 
Programmierung und kann dir daher selbst nicht weiterhelfen. Du könntest 
dich aber mit Uwe Bonnes in Verbindung setzen. Der kann dir als 
Entwickler von xc3sprog eher weiterhelfen. Vorab solltest/könntest du 
noch mit xc3sprog direkt spielen/experimentieren, also ohne die 
Batch-Datei.

von Uwe Bonnes (Gast)


Lesenswert?

Was sagt die -T Option? Die benutzt TDI/TDO und ist ein 
aussagekraeftigerer Test als die blosse Erkennung der JTAG Kette, die 
nur TDO ausliest.

War das CPLD neu oder ist es irgendwo ausgebaut und vielleicht eine Fuse 
Bit gesetzt?

von malte (Gast)


Lesenswert?

Danke das Du dich meldest. Mit dem Zusatz -T kommt folgendes:
1
C:\xc3sprog.exe -c ftdi -T
2
Release $Rev: 401 $
3
Free software: If you cContribute nothing, expect nothing!
4
Please provide feedback on success/failure/enhancement requests!
5
Check Sourceforge SVN for updates!
6
Reading ID_CODE 10000  times
7
Sending 8 bits IDCODE Commands: 0xfe
8
Expecting 1 IDCODES  : 0x59616093..........
9
JTAG loc.: 0    IDCODE: 0x59616093      Desc:       XC95288XL   IR length: 8

Der CPLD ist neuwertig, hatte den noch nie im Einsatz

Grüße
Malte

von Uwe Bonnes (Gast)


Lesenswert?

Da es wohl bei anderen funktioniert, vermute ich kein Problem mit 
xc3sprog. Teste noch mal genau alle Verbindungen und Loetstellen, kalte 
Loetstellen haben mir schon rechtr haeufig einen Streich gespielt. 
Hilfreich ist eine Lupe oder besser ein Binokular und eine federnde 
Mikromessspitze. Taste mal lle JTAG relevanten Pins mit der Messspitze 
ab, beim druck mit der (federnden) Messspitze bewegen sich kalte 
Loetstellen.

von Marek N. (Gast)


Lesenswert?

abonniert

von malte (Gast)


Lesenswert?

Hallo und Danke für die Rückmeldung.

Geholfen hat es allerdings nicht. Habe geprüft ob der CPLD Masse und 
Versorgugnsspannung hat. Dann habe ich geprüft ob der FTDI mit dem CPLD 
verbunden ist - es scheint alles richtig zu sein.
Das einzige was mich gewundert hat ist, das auf TMS/TCK und TDo maximal 
als 3v3 zu sehen ist, auf TDI aber 5V.

Grüße
Malte

von Uwe Bonnes (Gast)


Lesenswert?

Ich kenne jetzt den aktuellen Schaltplan nicht (unter 
http://minila.sourceforge.net/hw/mainboard/hw.php?id=hw&sm=mainboard
sehe ich nur das teil mit paralleler Schnittstelle), aber 5 Volt an TDI 
hoert sich krank an. FT2232H und XC95XL sind zwar 5-Volt tolerant, aber 
keiner kann mt 5 Volt betrieben werden, um 5 Volt Ausgangsspannung zu 
erzeugen.

von malte (Gast)


Lesenswert?

Hallo,

anbei der Schaltplan.

Es kam laut BOM ein FT2232C zum Einsatz der auch mit 5V versorgt wird. 
Und da die Datenleitungen direkt auf den CPLD gehen, dachte ich bisher, 
müsste hier eigentlich überall 5V sein (oder die Pins des CPLD sind 
gerade als high und ich sehe nicht wenn diese kurzzeitig auf 5V gehen). 
Ich habe die Leitungen so verbunden wie es auf den Bilderun zu sehen 
ist:
http://www.mikrocontroller.net/wikifiles/e/ef/Programme_miniLA_with_xc3sprog_bat.zip

Wenn es keine Ideen mehr gibt muss ich mir wohl doch nen CPDL Programmer 
basteln

Grüße
Malte

von Uwe Bonnes (Gast)


Lesenswert?

Und wo ist die Masseleitung zwischen FT2232 und de Minila?

von malte (Gast)


Angehängte Dateien:

Lesenswert?

doh, der Anhang....
Masse des FT2232 ist auch Masse des CPLD

von Uwe Bonnes (Gast)


Lesenswert?

@Malte: Ich denke, Du solltes das Problem in Deinem Aufbau suchen. 
Vielleicht ware die Patchleitungen recht lang, und JTAG kamm durch 
Klingeln ausser Takt.

Ich gehe ja davon aus, dass andere mit der Schaltung erfolgreich 
programmiert haben.  Und unsere Selbstbau FT2232C|H Adapter verwende ich 
taeglich erfolgreich..

von Guido (Gast)


Lesenswert?

Hi Malte,

die +5 V auf TDI geben mir doch zu denken. Zwar wird der FTDI
mit 5 V versorgt, verfügt aber über einen internen 3,3-V-Regler.
Am CPLD dürfen auch nur 3,3 V liegen. Da würde ich noch mal
genauer hinschauen. Ich habe selbst (wie wohl alle Nachbauer hier)
das xc3sprog nicht verwendet. Da der CPLD erkannt wird, ist es wohl
kein großer Fehler. Gib dem CPLD genügend Strom! Der Oszillator wird
zum Brennen nicht benötigt.

Viel Glück, Guido

von malte (Gast)


Lesenswert?

Hallo,

ich werde mir das nochmal genau anschauen, auch ob am FTDI irgendwo doch 
Brücken drin sind.

Was mich allerdings wundert ist, das laut Schaltplan am VCCIOa und 
VCCIOB 5V angelegt werden. Im Datenblatt des 2232C steht auf Seite 11:

+3.0 volt to +5.25 volt VCC to the UART / FIFO A Channel interface pins 
10..13, 15..17 and 19..24. When interfacing with 3.3V external logic in 
a bus powered design connect VCCIO to a 3.3V supply generated from the 
USB bus. When interfacing with 3.3V external logic in a self powered 
design connect VCCIO to the 3.3V supply of the external logic. Otherwise 
connect to VCC to drive out at 5V CMOS level.

Auf Seite 23 ist ja ein Vorschlag.  Ich schau mir auch mal die 
Versorgunsspannung des CPLD an, vielleicht bricht die wirklich zusammen 
(750mA Steckernetzteil). Vielleicht ist es schlicht so ein einfacher 
"Fehler"

Danke für die Unterstützung!

von kratzer (Gast)


Lesenswert?

Ich hatte ja schon mal vor einem halben Jahr bei Display3000 die XKM 
Grabber bestellt. Leider gab es die dort nur in Schwarz. Gerade google 
ich nach "farbige Grabber" weil ich doch gerne farbige hätte um die 
Signale auseinanderzuahlten. Irgendwo muss es doch welche geben. Und ich 
sehe, dass es bei Display 3000 dort nun auch die bunten Greifer gibt. 
Das beste ist, sie koste nur die hälfte von den schwarzen: 
http://www.shop.display3000.com/werkstatt/werkzeug/pruefklemme-farbig.html

Ich bestelle mir in Kürze mal ein Pack und bewerte sie dann mal hier. 
Ist ja vll. auch hilfreich für die Sammelbesteller.

Andi

von Mike H. (-scotty-)


Lesenswert?

Also ich würde die nummerieren, sonst haste das Problem
"Welche Farbe war doch gerade D13?"
Wenn du dir das aufschreibst musste auch erst mal die
Tabelle durchschauen. Für meinen Geschmack zu umständlich.
Eine Farbe für die Signale, z.B. Rot und Schwarz für die Masse,
so wie man das gewohnt ist.

@malte

Soll man auf dem Schaltplan was erkennen?
Dann brauch ich wohl ne Lupe aber ne starke.

von Alex H. (hoal) Benutzerseite


Lesenswert?

Mike Hammer schrieb:
> Soll man auf dem Schaltplan was erkennen?
> Dann brauch ich wohl ne Lupe aber ne starke.

Unter dem Vorschaubild ist ein Link zur eigentlich angehängten PDF. Dort 
ist eine Lupe eingebaut ;)

von Michael L. (michaelx)


Lesenswert?

Mike Hammer schrieb:
> Also ich würde die nummerieren, sonst haste das Problem
> "Welche Farbe war doch gerade D13?"
> ...
> Eine Farbe für die Signale, z.B. Rot und Schwarz für die Masse,
> so wie man das gewohnt ist.

Hab grad mal neugierig bei 3000 geschaut - es gibt auch noch eine 
Variante 1x Schwarz + 1x Rot + 8x andere Farbe

von Harri (Gast)


Lesenswert?

kratzer schrieb:
> Das beste ist, sie koste nur die hälfte von den schwarzen:
> http://www.shop.display3000.com/werkstatt/werkzeug...

Das sind die Klemmen von Zeroplus, ich hab mir auch zugelegt weil mir 
die XKM zu teuer waren. Funktionieren soweit, an ein DIL-Pin kriegt man 
sie nur mit Mühe dran (gehen nicht weit genug auf). Wenn man sie wie bei 
display3000 gezeigt kontaktieren möchte, muss man zuvor das Pin am 
Grabber mit einer spitzen Zange etwas zudrücken. Das ist im Endeffekt 
gar kein rundes Pin wie bei XKM, sondern ein zum U gebogenes Blech.

Bei zeitech gibt es übrigens ein 36er Pack für 26,95 (20 für 19,95), bei 
shop3000 sind sie eigentlich zu teuer.
http://www.zeitech.eu/Signalklemmen-36-St-ZEROPLUS

Es sind 4 von jeder Farbe enthalten, ich hab mit bei meinem 8 Kanal LA 
zwei Farben genommen (gerade und ungerade Kanalnummer immer abwechselnd) 
und mit wasserfesten Stift die Nummern drauf geschrieben. Funzt für mich 
gut genug.

mfg
Harri

von Markus N. (rebel4life)


Lesenswert?

Hallo,

ich hab den Thread gerade entdeckt, ich wäre an einer Sammelbestellung 
interessiert, vieleicht finden sich auch noch welche, die mitbestellen 
würden.

Ich werde dann demnächst einen Bekannten der gewerblicher ist fragen, ob 
ich über den die Bauteile beziehen könnte, für die man als gewerblicher 
bestellen muss.

Schon mal vielen Dank an alle für dieses tolle Projekt!

MFG Johannes

von Thomas R. (tinman) Benutzerseite


Lesenswert?

Johannes R. schrieb:
> Hallo,
>
> ich hab den Thread gerade entdeckt, ich wäre an einer Sammelbestellung
> interessiert, vieleicht finden sich auch noch welche, die mitbestellen
> würden.

Johannes,
ich kann nur empfehlen :
Beitrag "MiniLA Version MockUp"

von Markus N. (rebel4life)


Lesenswert?

Danke, ich werde mich dann in dem anderen Thread "durchkämpfen".


MFG Johannes

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.