Forum: Mikrocontroller und Digitale Elektronik Z180-Stamp Modul


von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Da die neue Entwicklung dem AVR CP/M etwas entrückt ist, eröffne ich 
einen neuen Thread für dieses Thema.
Nach einigen Diskussionen habe ich das Z180-Modul nochmals überarbeitet. 
Es beinhaltet jetzt tatsächlich nur noch den Z180, die Takterzeugung, 
3.3 V/ 5.0V und den 512 K SRAM. Weiterhin ist der komplette Z80-Bus auf 
den Steckverbindern. Somit sollten nunmehr die folgenden Varianten 
möglich sein:

Z180-Stamp + EPROM
Z180-Stamp + Bootprozessor (AVR, Propeller, STM32)
Z180-Stamp + Bootprozessor + ECB-Bus

Im nächsten Schritt folgt der Bootprozessor (AVR, STM32) als 
Huckepackvariante zum Z180-Stamp.

Alle Unterlagen wie immer hier:
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/z180_stamp/docs/

von Hans- w. S. (hschuetz)


Lesenswert?

Hallo,
Ich bin dabei.
Gruß
Hans-Werner

von Guido L. (guidol1970)


Lesenswert?

Ich hoffe darauf, dass man sowas (spaeter) auch fertig kaufen 
kann...sowas kann ich leider nicht zusammenloeten :-(

von Leo (Gast)


Lesenswert?

Joe G. schrieb:
> Nach einigen Diskussionen habe ich das Z180-Modul nochmals überarbeitet.
> Es beinhaltet jetzt tatsächlich nur noch den Z180, die Takterzeugung,
> 3.3 V/ 5.0V und den 512 K SRAM.

Eigentlich dachte ich, die Stamp-Platine sollte für sich alleine 
lauffähig sein.

> Z180-Stamp + EPROM

Dazu müßte auf auf das Stamp-Modul eine minimale Adressdekodierlogik für 
das RAM, oder die EPROM-Platine müßte Busmaster-fähig sein.

> Z180-Stamp + Bootprozessor (AVR, Propeller, STM32)
> Z180-Stamp + Bootprozessor + ECB-Bus

ECB wäre dann nochmal eine Extra-Platine?
Oder eine andere Bootprozessor-Variante?


Was mir besser gefallen würde:

Stamp-Platine mit
 - Z180, RAM, Bootprozessor
 - RTC mit Batterie
 - SD-Karte
 - Serielle vom Bootproz auf extra Stiftleiste und/oder auf
   Steckerverbinder zur Trägerplatine.
   Evtl. auch V24- oder USB-Interface direkt auf Stamp.

Im 2. Schritt dann eine "Trägerplatine"
 - V24/USB/RS485/sonstwas Interfaces für 2 bis 3 serielle 
Schnittstellen.
 - ECB-Bus
 - I/O-Ports
 - VGA-Terminal oder Anschluß für TFT
 - Netzteil
 - Prototyping-Area
 - usw. Je nach Platz und Wünschen der Benutzer.

Jeder bestückt nur die Teile, die er braucht. Die Trägerplatine könnte 
im Europakarten-Format sein. Man könnte natürlich auch eine 
Lochraster-Karte nehmen (100% Prototyping-Area).

von Frank K. (fchk)


Lesenswert?

Warum nicht den eZ80F91 verwenden? Der ist aktuell, läuft mit 50 MHz, 
hat seine Peripherie sowie 512k Flash schon eingebaut und ist 
binärkompatibel zum alten Z80. Man muss nur noch RAM extern anschließen.

fchk

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo schrieb:
> Eigentlich dachte ich, die Stamp-Platine sollte für sich alleine
> lauffähig sein.

Die unterschiedlichen Wünsche [auch meine :-) ] alle unter einen Hut zu 
bekommen sind immer ein Problem.  Die Z180-AVR Version
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/avr_stamp/doc/
ist ja so eine Variante mit SD, USB und RTC. Leider ist das Layout nur 
4-lagig zu realisieren und bei dem Wechsel auf STM32 ist ein neues Z180 
Layout notwendig. Daher auch die Idee den Z180 mit SRAM einfach 
abzukoppeln.
Der Vorteil dieser Variante ist eine übersichtliche Zweilagenplatine. 
Der Bootprozessor mit SD, RTC, USB, Seriell wird einfach auf den Z180 
gesteckt (oder Z180 auf Bootprozessor) und dann alles auf die 
Trägerplatine. Dort kann dann ECB, I/O, VGA, Terminal usw. realisiert 
werden.
Einen weiteren Vorteil sehe ich in den unterschiedlichen Bootvarianten. 
Es gibt ja schon Lösungen mit Propeller und AVR. Nun würde eine STM32 
Lösung dazu kommen. So wie der Z80-Bus jetzt rausgeführt ist, kann der 
Bootprozessor den SRAM ja per DMA zum schreiben und lesen übernehmen. 
Die EPROM-Variante müsste dann auch Busmaster-fähig sein bzw. das 
Stamp-Modul hat eine Logik um für den Bootvorgang im unteren 
Adressbereich zunächst den ROM einzublenden. Ich glaube, diese Variante 
wird jedoch nur wenige Liebhaber finden.
Ohne die Kosten zu sehr zu betonen, die 2x Zweilagenvariante ist auch 
günstiger als die 4-lagige Variante.

Frank K. schrieb:
> Warum nicht den eZ80F91 verwenden?
> ... und ist binärkompatibel zum alten Z80.
Die Binärkompatibilität reicht für CP/M leider nicht aus. Beim EZ80 
liegen die internen I/O-Baugruppen leider auf festen Adressen.

von cpm (Gast)


Lesenswert?


von Georg (Gast)


Lesenswert?

Joe G. schrieb:
> Die Binärkompatibilität reicht für CP/M leider nicht aus. Beim EZ80
> liegen die internen I/O-Baugruppen leider auf festen Adressen.

Und wieso sollte das stören??

Gruss Georg

von Frank K. (fchk)


Lesenswert?

Joe G. schrieb:

> Frank K. schrieb:
>> Warum nicht den eZ80F91 verwenden?
>> ... und ist binärkompatibel zum alten Z80.
> Die Binärkompatibilität reicht für CP/M leider nicht aus. Beim EZ80
> liegen die internen I/O-Baugruppen leider auf festen Adressen.

... im IO-Adressraum (IN, OUT)

"All on-chip peripheral registers are accessed in the I/O address 
space."

Das ist völlig normal und unkritisch. Wichtig ist, dass der Memory space 
frei ist.

fchk

von hal9000 (Gast)


Lesenswert?

Hallo Leute

Warum machen wir nicht endlich mal was gescheites:

STM32F4 mit 2MB Flash und 256KRAM.
nUarts ne Speicherkarte dran
Z80 Emulator drauf
das ganze läuft mit 180Mhz

Warum soll man jetzt noch ne Platine mit nem Z180 machen,
das ist doch absolu überflüssig

fertig.

von Harald N. (haraldn)


Lesenswert?

Coole Idee, die Z180-Stamp! Weiter so

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Ich habe nun den zugehörigen AVR-Bootprozessor im SVN eingecheckt.

Er hat die folgenden Funktionen:
- RTC mit Batterie
- SD-Karte (Micro-SD) und auf Steckverbinder zur Trägerplatine
- Serielle vom Bootproz. auf Steckerverbinder zur Trägerplatine und USB

Die beiden Logikgatter detektieren einen IN/OUT Befehl des Z180 im 
Adressbereich 40-7F. Der Z180 SRAM wird per DMA übernommen.
- /BUSRQ an Z180
- warten auf /BUSAK
- Datenaustausch über /RD, /WR und /MREQ
- Busfreigabe

Da der AVR im Gegensatz zum späteren STM32 zu wenige Pins hat, wird der 
Adressbus derzeit über ein Latch vergrößert. Weiterhin kann über das 
Adresslatch bei /IORQ die IO-Adresse vom AVR gelesen werden.

Bitte die Schaltung kritisch betrachten und Hinweise und Vorschläge 
rückmelden.

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Die beiden Logikgatter detektieren einen IN/OUT Befehl des Z180 im
> Adressbereich 40-7F.

Und C0-FF, wenn man die A7 nicht noch mit dazu nimmt.
Und leider muß man in die Decodierung zusätzlich /M1 oder /RD bzw. /WR 
einbeziehen, wenn in dem System auch Vector-Interrupts möglich sein 
sollen. (Das habe ich in meinem STM32-Entwurf auch vergessen.)

> Der Z180 SRAM wird per DMA übernommen.

Der ATmega1284 hat DMA? Beim STM32 funktioniert das.
Am AVR wird man so wohl nur einen Int auslösen können, und die Daten 
dann so tranferieren:
> - /BUSRQ an Z180
> - warten auf /BUSAK
> - Datenaustausch über /RD, /WR und /MREQ
> - Busfreigabe

> Da der AVR im Gegensatz zum späteren STM32 zu wenige Pins hat, wird der
> Adressbus derzeit über ein Latch vergrößert.

Warum eigentlich kein AVR mit mehr Pins?

> Weiterhin kann über das Adresslatch bei /IORQ die IO-Adresse vom AVR
> gelesen werden.

?

> Bitte die Schaltung kritisch betrachten und Hinweise und Vorschläge
> rückmelden.

Die Widerstände R13..R15 würde ich von der Z- Auf die A-Platine 
verschieben. Schließlich werden sie nur dort gebraucht, weil der 
Mega1284 zu wenig Adressleitungen hat.

Ich würde den Takt für den AVR nicht vom Z180 nehmen.
Der z180 kann den Takt halbieren oder verdoppeln, und ich weiß nicht, ob 
der AVR die Frequenzsprünge vertragen würde. Außerdem kann man sich 
leicht in den Fuß schießen, indem man den Z180 in den IDLE Mode 
versetzt:
The oscillator keeps operating but its output is blocked to all
circuitry including the PHI pin.

Interessanter wärs anders rum, wenns denn gehen würde.

Vorschlag zu Z180 wg. späterer Erweiterungsmöglichkeiten:
- A19 auf Steckerleiste.
- Zusätzliches Deselect-Signal auf der Steckerleiste vorsehen
- CE am RAM dann so:
Externe Hardware (ECB) kann dann RAM auf der oberen Hälfte des 1 Mbyte 
großen Adressraums einblenden, aber auch an beliebigen Adressen in der 
unteren Hälfte (Videospeicher, alte ROM-Karten, Memory-Mapped-I/O, ...).
Auch die (E)EPROM-Only Variante wäre damit möglich, falls die wirklich 
jemand bauen möchte.

Beim Einschalten muß der z180 in einem definierten Zustand gehalten 
werden:
--> Pulldown am Z180-/RESET.
Die sonstige Reset-Beschaltung auf der Z180-Platine (Taster, C) kann 
entfallen, da der Z180 ja ein definiertes Reset-Signal vom AVR bekommt.

Wenn am AVR noch Ports frei wären, würde ich /HALT und eine Int.-Leitung 
vom Z180 dort anschließen. (In meinem STM32-System habe ich /NMI 
genommen, aber das läßt sich in einem CP/M-System nicht sinnvoll 
verwenden.)

Steckerleisten nochmal überdenken?
- Die Int-Leitungen sollten auf den Bus.
- Extra Steckerleiste für die Z180-Peripherie?
- AVR-Reset (/RESETIN) auf den Bus?
- SD-Karten-Signale (nicht) auf Bus?

von Leo C. (rapid)


Lesenswert?

Frank K. schrieb:
> Warum nicht den eZ80F91 verwenden? Der ist aktuell, läuft mit 50 MHz,

Ja, aber der Thread hier heißt leider "Z180-Stamp Modul".

hal9000 schrieb:
> Warum machen wir nicht endlich mal was gescheites:
> STM32F4 mit 2MB Flash und 256KRAM.
> nUarts ne Speicherkarte dran
> Z80 Emulator drauf

Überflüssig, so etwas (änliches) gibt es und habe ich schon. z.B:
http://www.schorn.ch/altair.html
http://yaze-ag.de/

> das ganze läuft mit 180Mhz

Bei mir aktuell mit ca. 2 x 2800Mhz.

> Warum soll man jetzt noch ne Platine mit nem Z180 machen,
> das ist doch absolu überflüssig

So gesehen stimmt das.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Und C0-FF, wenn man die A7 nicht noch mit dazu nimmt.

Stimmt, vielleicht sollten wir vollständig dekodieren und A7 mit 
hinzuziehen.

> Und leider muß man in die Decodierung zusätzlich /M1 oder /RD bzw. /WR
> einbeziehen, wenn in dem System auch Vector-Interrupts möglich sein
> sollen.

Ja, sowas gab es. Hatte ich schon fast vergessen ;-) Wenn ich mich recht 
erinnere wurde durch /IORQ UND /M1 angezeigt, dass der Interrupt 
angenommen wurde und erst dann vom Datenbus der Vektor gelesen. Da muß 
ich nochmal die alten Taktdiagramme rauskramen.

> Der ATmega1284 hat DMA?

Nein, natürlich nicht. Mit DMA meinte ich dem DMA-Zyklus des Z80. Der 
AVR muß dafür natürlich per Interrupt angestoßen werden.

> Warum eigentlich kein AVR mit mehr Pins?
Da die Pins derzeit alle ausgelastet sind macht das schon Sinn auf eine 
größere Variante umzusteigen, z.B. ATmega1281. Dann könnte der AVR auch 
den Takt für den Z180 über CLKO liefern. Leider ist er laut Datenblatt 
bei 3.3V nicht besonders fix (8MHz).

> Die Widerstände R13..R15 würde ich von der Z- Auf die A-Platine
> verschieben.

Ja, ist sinnvoll.

> Vorschlag zu Z180 wg. späterer Erweiterungsmöglichkeiten:
> - A19 auf Steckerleiste.
Ist schon darauf, liegt versteckt über D0 ;-)

> - Zusätzliches Deselect-Signal auf der Steckerleiste vorsehen
> - CE am RAM dann so:

Ich denk mir mal was sinvolles dazu aus.

> --> Pulldown am Z180-/RESET.
OK

> Wenn am AVR noch Ports frei wären, würde ich /HALT und eine Int.-Leitung
> vom Z180 dort anschließen.

Mit einem größere AVR ist ja noch Luft dafür.

> Steckerleisten nochmal überdenken?
> - Die Int-Leitungen sollten auf den Bus.

OK

> - Extra Steckerleiste für die Z180-Peripherie?

Mal schauen wie günstig sie dazu liegen.

> - AVR-Reset (/RESETIN) auf den Bus?

OK

> - SD-Karten-Signale (nicht) auf Bus?

Könnte so wie Z180-Peripherie auf einen extra Stecker.

: Bearbeitet durch User
von Frank K. (fchk)


Lesenswert?

Leo C. schrieb:
> Frank K. schrieb:
>> Warum nicht den eZ80F91 verwenden? Der ist aktuell, läuft mit 50 MHz,
>
> Ja, aber der Thread hier heißt leider "Z180-Stamp Modul".

Ja na und? Wenn Du fürs gleiche Geld viel mehr Leistung bekommst, 
greifst Du da nicht zu? Zumal Du die gleiche Software fahren kannst.

Und einen JTAG/ZPAK-Debugger gibts dafür obendrein.

Oder bist Du nicht lern- und aufnahmefähig?

fchk

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Frank K. schrieb:
> Ich habe Eval-Board, Prozessormodule und ZDI(Zilog 2-Draht JTAG
> Variante)-Debugger und die ganze Doku und Software da, ich weiss, wovon
> ich rede.

Das war am 13.05.2010. Läuft dein CP/M inzwischen?

von Frank K. (fchk)


Lesenswert?

Joe G. schrieb:
> Frank K. schrieb:
>> Ich habe Eval-Board, Prozessormodule und ZDI(Zilog 2-Draht JTAG
>> Variante)-Debugger und die ganze Doku und Software da, ich weiss, wovon
>> ich rede.
>
> Das war am 13.05.2010. Läuft dein CP/M inzwischen?

Ich brauchte kein CP/M, ich habe das Zilog eigene RTOS verwendet, das im 
erweiterten 24 bit Modus des eZ80 läuft. Ich sehe aber keinen Grund, 
warum CP/M nicht laufen sollte, und in einem voherigen Posting war ja 
ein Link zu einem CP/M für das Zilog-Demoboard enthalten. Sollte ich mal 
einen Überschuss an Zeit haben, kann ich das ja mal auf mein Board 
laden.

fchk

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Und leider muß man in die Decodierung zusätzlich /M1 oder /RD bzw. /WR
> einbeziehen, wenn in dem System auch Vector-Interrupts möglich sein
> sollen.

Das ist mit wenigen Standardbauelementen echt blöd aufzulösen :-(
So würde es mit 1 x 7400 und 1 x 7420 gehen.

AVR_INT  =  /( /( M1 * /A6 ) *
               /( M1 *  A7 ) *
               /( IORQ ) *
               /( M1 *  WR ) )

von Svenska (Gast)


Lesenswert?

In meinem System mit Z80 macht ein '138 die I/O-Adressdekodierung. Die 
Interruptlogik geschieht mit '148 und '541.

von Frank K. (fchk)


Lesenswert?

Joe G. schrieb:
> Leo C. schrieb:
>> Und leider muß man in die Decodierung zusätzlich /M1 oder /RD bzw. /WR
>> einbeziehen, wenn in dem System auch Vector-Interrupts möglich sein
>> sollen.
>
> Das ist mit wenigen Standardbauelementen echt blöd aufzulösen :-(

Kannst ja ein Xilinx XC9536XL verwenden.

fchk

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hier eine mögliche Lösung.

Ausgangsgleichung:
AVR_INT =   /( (/IORQ * /WR * /A7 * A6) +
               (/IORQ * /RD * /A7 * A6) +
               (/IORQ * /M1) )

NAND-Form:
AVR_INT  =  /( /( M1 * /A6 ) *
               /( M1  WR   RD ) *
               /( IORQ ) *
               /( M1 *  A7 ) )

Bei dieser Lösung würde am AVR ein externer Interrupt ausgelöst werden. 
In der ISR könnte durch Abfragen von WR, RD und ST die Interruptquelle 
zugeordnet werden.

I/O-Read  = AVR_INT * /RD
I/O-Write = AVR_INT * /WR
INT 0 (2) = AVR_INT * /ST


Nachtrag:
Die Gatter die noch übrig bleiben, können dann prima für die DESEL 
verwendet werden, geht gerade auf ;-)

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Ausgangsgleichung:
> AVR_INT =   /( (/IORQ * /WR * /A7 * A6) +
>                (/IORQ * /RD * /A7 * A6) +
>                (/IORQ * /M1) )

> Bei dieser Lösung würde am AVR ein externer Interrupt ausgelöst werden.
> In der ISR könnte durch Abfragen von WR, RD und ST die Interruptquelle
> zugeordnet werden.

Es wird nicht funktionieren, da die Impulse auf den Leitungen viel zu 
kurz sind, um in einer AVR-Interruptroutine gelesen zu werden, Es sei 
denn, die Signale werden gelatched, oder man baut noch eine 
Wait-State-Logik dazu.

Aber wozu sollte das denn eigentlich gut sein?
Vor allem mit dem Int-Ack kann der AVR eigentlich nichts sinnvolles 
anfangen. Nützlich wäre allerdings ein Kanal, über den der Z180 einen 
Datentransfer anstoßen könnte. Der eigentliche Datentransfer wird dann 
durch den AVR per DMA erledigt. Das hast Du ja weiter oben schon 
vorgeschlagen.

Dazu reicht ein IN oder OUT auf einen passenden Adressbereich.

Oder:
Wenn der Z180 nichts zu tun hat, weil er auf Daten wartet, läuft er auf 
einen HALT- oder SLEEP-Befehl. Das HALT-Signal kann dann am AVR einen 
Interrupt auslösen...

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Aber wozu sollte das denn eigentlich gut sein?

Bei INT0, Mode 2 könnte der AVR den Interruptvektor bereitstellen. Für 
DMA reicht /IORQ, /RD und /WR aus. /M1 bräuchte dann ja nicht decodiert 
werden.

@Nachtrag: Das war natürlich Unsinn. Die Gatter werden ja auf der 
Z180-Stamp Platine benötigt und nicht beim AVR (siehe Update im SVN)

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

> Bei INT0, Mode 2 könnte der AVR den Interruptvektor bereitstellen.

Wie schon geschrieben, bräuchte man dazu mindestens noch einen 
Waitstate-Generator. Damit wäre man dann schnell bei einem CPLD, wie der 
Forentroll hier ja schon vorgeschlagen hat.
Die aktuelle Schaltung im SVN funktioniert. Statt der beiden Gatter-ICs
könnte man aber auch einen 74LVC138 (oder so) nehmen. Damit könnte man 
auch den belegten Adressbereich noch weiter einschränken.

> @Nachtrag: Das war natürlich Unsinn. Die Gatter werden ja auf der
> Z180-Stamp Platine benötigt und nicht beim AVR (siehe Update im SVN)

Oder anders rum, also die Dekodierung auf die Z180-Karte setzen. 
Zwischendurch dachte ich mal, man könnte dadurch ICs einsparen, und das 
I/O-Select könnte auf einer "Trägerplatine" für die Busbuffer-Steuerung 
nützlich sein. Beides kommt aber nicht ganz hin und man bräuchte einen 
weiteren Pin auf den Steckerleisten.


Hast Du Dir schon Gedanken darüber gemacht, wie man die "Stamps" auf 
einer Grundplatte anordnen könnte? Insbesondere auf einer 
ECB-Europakarte, und wie gut (oder evtl. schlecht) die Anschlüsse dann 
zugänglich sind? Die Micro-SD-Karte könnte man ja als - im Betrieb nicht 
wechselbare Harddisk - betrachten.

Im Anhang ist mal ein Versuch von mir. Wenn die Karten etwas schmaler 
wären, könnte man sie um 90° drehen, und die Anschlüsse der AVR-Karte 
wären in einem 19" Rack von der Frontplatte aus zugänglich. K.A., ob das 
routingtechnisch geht.

/DREQ0 sollte in dem System (dauerhaft) aktiviert werden können. 
Entweder durch Jumper, Pulldown oder GPIO des Steuerprozessors.
Grund: DMA-Transfers 'memory maped i/o <--> mememory' und 'i/o <--> 
mememory' funktionieren nur mit /DREQ. Mit ersterem löscht meine 
Kaltstart-Init z.Zt. das RAM (feste mem-src auf incr. mem-dst). Das 
würde aber ohne /DREQ gehen, wenn man es umprogrammiert auf: incr. 
mem-src --> inc. mem-dst, wobei dst = src+1.
Beim geplanten STM32-Controller könnte man 'i/o <--> mememory' zum 
Transfer größerer Datenblöcke vom Z180 zum STM verwenden.

Pullup an /TEND1 kann entfallen (output).

Pullup an CKA1//TEND0 kann evtl. auch entfallen. Allerdings ist die 
Leitung nach Reset ein Input. Also besser lassen.


Verwendungsvorschläge für die noch freien I/Os neuen AVRs (AVR_Stamp):
A16-A18 statt der Pulldowns.

SD-Card: Card-Detect, Power-On, Write-Protected
Für eine im Gerät versenkte Mico-SD wohl weniger wichtig. Beim AVR-CP/M 
vermisse ich aber vor allem das Card-Detect-Signal.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Vielen Dank für die Hinweise und Vorschläge!

In meinem Testaufbau bootet das System bereits mit CP/M 2.2 und ZSDOS. 
Der Z180 CPU Takt wird dabei vom AVR bereitgestellt. Das läuft mit 
18.432 MHz bisher stabil. Bei der Micro-SD wird zwar eine SD > 1 GB 
erkannt, jedoch noch fehlerhaft gelesen. Hier ist nochmal ein intensives 
Elm Chan Studium notwendig ;-)

> Statt der beiden Gatter-ICs könnte man aber auch einen 74LVC138 (oder
> so) nehmen. Damit könnte man auch den belegten Adressbereich noch weiter
> einschränken.

Die Dekodierung würde ich erst mal so lassen und abwarten welche 
Anwendungen damit alle entstehen.

> Hast Du Dir schon Gedanken darüber gemacht, wie man die "Stamps" auf
> einer Grundplatte anordnen könnte?

Ja, eigentlich sollte die AVR Platine als "EPROM" direkt auf die Z180 
Platine gesteckt werden und dieses Packet dann auf eine ECB 
Trägerplatte. Genau dafür sind auch die Pinbelegungen der Steckerleisten 
ausgelegt. Leider kann dann auf der Trägerplatine keine weitere SD-Karte 
bestückt werden. Ich überlege deshalb auch den SPI-Bus mit auf die 
Steckerleiste zu legen.

> /DREQ0 sollte in dem System (dauerhaft) aktiviert werden können.
> Entweder durch Jumper, Pulldown oder GPIO des Steuerprozessors.

OK, nehme ich auf, auch die Änderung an /TEND1.

> Verwendungsvorschläge für die noch freien I/Os neuen AVRs (AVR_Stamp):
> A16-A18 statt der Pulldowns.

Sollte auch gehen. Damit könnte der AVR den kompletten RAM beschreiben.

> Beim AVR-CP/M vermisse ich aber vor allem das Card-Detect-Signal.

Ja, ist bei mehreren SD-Karten sinnvoll. GPIO-Pins sind ja auch noch 
vorhanden.

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

> In meinem Testaufbau bootet das System bereits mit CP/M 2.2 und ZSDOS.

Gratuliere.
Ich komme leider (bei diesem Projekt) überhaupt nicht von der Stelle.

> Der Z180 CPU Takt wird dabei vom AVR bereitgestellt. Das läuft mit
> 18.432 MHz bisher stabil.

Also anders rum als schon mal geplant. So herum gehts natürlich.


> Ja, eigentlich sollte die AVR Platine als "EPROM" direkt auf die Z180
> Platine gesteckt werden und dieses Packet dann auf eine ECB
> Trägerplatte. Genau dafür sind auch die Pinbelegungen der Steckerleisten
> ausgelegt.

Ja, aber der Turm wird etwas hoch. Vor allem für einen 19" Einschub.
Vorhin vergessen: Die Stiftleisten der beiden Stamp-Karten passen 
derzeit nicht ins (Loch-) Raster.

> Leider kann dann auf der Trägerplatine keine weitere SD-Karte
> bestückt werden. Ich überlege deshalb auch den SPI-Bus mit auf die
> Steckerleiste zu legen.

Daran hatte ich auch schon mal gedacht. Nicht unbedingt als 2. SD-Karte, 
sondern um eine SD-Karte in Normalgröße alternativ zu verwenden.

>> /DREQ0 sollte in dem System (dauerhaft) aktiviert werden können.
>> Entweder durch Jumper, Pulldown oder GPIO des Steuerprozessors.
> OK, nehme ich auf, auch die Änderung an /TEND1.

Da ich mir kaum vorstellen kann, daß beide DMA-Controller je für externe 
Peripherie verwendet werden, würde es reichen, einen Jumper, Lötbrücke 
oder ähnliches vorzusehen. Volle Flexibilität gäbe es mit Anschluß an 
einen GPIO.

>> A16-A18 statt der Pulldowns.
> Sollte auch gehen. Damit könnte der AVR den kompletten RAM beschreiben.

Unter CP/M 3 kann man dann z.B. ganze SD-Karten-Sektoren direkt in/aus 
den gebankten Disk-Puffern kopieren.

>> Beim AVR-CP/M vermisse ich aber vor allem das Card-Detect-Signal.
> Ja, ist bei mehreren SD-Karten sinnvoll. GPIO-Pins sind ja auch noch
> vorhanden.

Das ist vor allem sinnvoll, um das Ziehen einer Karte zu erkennen. Und 
um eine neu eingesteckte Karte dann vernünftig initialisieren zu können.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

> Gratuliere.
Danke

> Ja, aber der Turm wird etwas hoch. Vor allem für einen 19" Einschub.
> Vorhin vergessen: Die Stiftleisten der beiden Stamp-Karten passen
> derzeit nicht ins (Loch-) Raster.

Die Stiftleisten sind nun im Loch(2.54)Raster. Der "Turm" hat mir ja 
auch noch nicht richtig gefallen. Gestern Nacht kam mir eine recht nette 
Idee dazu.

1. Wer einen Turm bauen möchte kann es tun. Auf der Steckerleiste sind 
dann nur die Pins A2 (12-16) und A2 (18-24) frei zu lassen. A2 (17) ist 
/DREQ0 an PB4 wenn man es mag. Somit ist der Turm ohne Grundplatte 
vollständig lauffähig (5V Versorgung über USB).

2. Wer kein Turm bauen möchte, setzt beide Stamps nebeneinander. Auf den 
doppelt belegten Pins A2 (12-28) liegen nun noch 8 freie GPIOs der 
SPI-Bus für eine zweite SD und die notwendigen /CS Signale dafür.

Folgende Änderungen wurden weiterhin realisiert.
- Adressdekoder doch auf 74138 umgestellt (nur noch ein IC nötig)
- Adressbereich 0x40h-0x5Fh auf INT2
- Adressbereich 0x60h-0x7Fh auf INT3
- A16-A18 auf GPIO
- /DREQ0 an GPIO
- /TEND1 ohne Pullup
- Card Detect für SD2

Card Detect für SD1 konnte leider nicht realisiert werden, da der 
Miro-SD Slot kein Pin dafür hat. Ich denke das ist jedoch nicht so 
problematisch, da SD1 als interne HD genutzt werden kann.

von Leo C. (rapid)


Lesenswert?

Hi Joe,

bin noch nicht ganz durch, weil ich erst später Zeit habe. Klingt aber 
alles ganz gut, bis auf eine Kleinigkeit am 138. Entweder /M1 auf G1 
legen, oder /WR auf /G2B. Außerdem spricht nichts dagegen, noch eine 
Adressleitung auf den Decoder zu legen, und damit den belegten 
Adressbereich noch weiter einzuschränken (imho).

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

> Klingt aber alles ganz gut, bis auf eine Kleinigkeit am 138.

/M1 auf G2B war natürlich Mist :-(
/M1 ist nun auf G1 und A4 zusätzlich auf G2B.
Damit wird INT2 im Bereich 0x40-0x4F und INT3 im Bereich 0x60-0x6F 
ausgelöst.

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hier die Pinbelegung beider Module ohne jedesmal die Schaltung bemühen 
zu müssen.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Schaut euch mal bitte die finale Version des Z180-Stamp und des 
AVR-Stamp an. Wenn es keine gravierenden Änderungswünsche gibt, würde 
ich die Platinen demnächst bei Elecrow in Auftrag geben. 10 Stück würden 
dort derzeit mit E-Test, Lötstopplack und Bestückungsaufdruck 15.90$ 
kosten.

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Card Detect für SD1 konnte leider nicht realisiert werden, da der
> Miro-SD Slot kein Pin dafür hat.

Meine schon. :)
Aber die werden nicht auf Dein Layout passen.

Joe G. schrieb:
> Hier die Pinbelegung beider Module ohne jedesmal die Schaltung bemühen
> zu müssen.

Danke, kam gerade recht. Ich hatte angefangen, ein weiteres Stockwerk 
für den Turm zu konstruieren: Ein Adapter, zwischen VL-Discovery und 
Z180_Stamp.

Joe G. schrieb:
> Schaut euch mal bitte die finale Version des Z180-Stamp und des
> AVR-Stamp an. Wenn es keine gravierenden Änderungswünsche gibt, würde

Ich finde, das es sehr gut geworden ist. Meinen Vorstellungen von 
"Minimal" ist das System jetzt ziemlich nahe gekommen. (Es sind jetzt 
mehrere Platinen, aber die Gründe haben wir ja diskutiert.)

Aber irgendwas fällt einem ja immer noch ein.  Sorry, daß die folgenden 
Anmerkungen so spät kommen, aber es sind ja auch nur ein paar 
Kleinigkeiten aus der Wünsch-Dir-Was Kategorie.

Gibts einen Grund, warum am 74138 A4 auf einem Enable liegt? Logischer 
wäre A7.

Falls der AVR noch freie Ports hat, könnte man, analog zu DREQ0, weitere 
Z180-Signale für den AVR zugänglich machen. Mir fallen ein Interrupt 
(Int1?), HALT und WAIT ein. Über letzteres ließe sich ein 
Single-Step-Betrieb realisieren (wer's braucht).

Ich weiß nicht, nach welchen Kriterien Du die AVR-Ports zugeordnet hast.
Sinnvoll wäre, die Signale zur RAM-Steuerung (RD, WR, MREQ, und evtl. 
BUSREQ, BUSACK) auf (bitadressierbaren) Ports im I/O-Bereich zu haben.


Kein Punkt fürs Layout, und vielleicht liegts ja an der Auswahl in 
Eagle, aber 74ALS und 74HCT sind für 3.3V nicht geeignet.

Und dann würde mich noch interessieren, warum der Z180 jetzt doch im 
PLCC-Gehäuse ist, da QFP ja auch mal im Gespräch war.

von Leo C. (rapid)


Lesenswert?

Nachtrag:
Ist die stehende Knopfzelle nicht etwas hoch?
Kein Platz zum hinlegen?

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Vielen Dank Leo,

> Gibts einen Grund, warum am 74138 A4 auf einem Enable liegt? Logischer
> wäre A7.

Nein, geht natürlich auch (sogar besser) dann liegt 10-1F bis 70-7F 
schön in einer Reihe wenn man es mal braucht.

> Falls der AVR noch freie Ports hat, könnte man, analog zu DREQ0, weitere
> Z180-Signale für den AVR zugänglich machen. Mir fallen ein Interrupt
> (Int1?), HALT und WAIT ein. Über letzteres ließe sich ein
> Single-Step-Betrieb realisieren (wer's braucht).

Mal sehen was das Layout noch so hergibt. Single-Step mit einem 
Flip-Flop ist natürlich ein "Muss" für jeden Z80 Einsteiger ;-)

> Ich weiß nicht, nach welchen Kriterien Du die AVR-Ports zugeordnet hast.

Das war dem Z180 Layout geschuldet, beim AVR mußte dann die 
Steckerleiste auch so belegt sein. Mal sehen ob ich die betroffenen Pins 
in den Bereich bis 0x1F verlegt bekomme. Ich habe mir auch noch nicht 
angeschaut was der Compiler aus PORTG &= ~(1<<PG1) eigentlich macht.

> Kein Punkt fürs Layout,
Der Punktabzug ist berechtigt. Ich war zu faul "LV" in Eagle 
einzutragen.

> warum der Z180 jetzt doch im PLCC-Gehäuse ist
Weil er so bei mir rumliegt, QFP geht aber auch. Ich habe nicht nach der 
Verfügbarkeit dazu geschaut.

> Kein Platz zum hinlegen?
In den ersten Versionen war kein Platz, doch nun sieht es ja ziemlich 
aufgeräumt aus und es wird sich warscheinlich der Platz finden.

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Die Signale zur RAM-Steuerung sind auf PORT D umgezogen.
PORT G liegt nun frei auf der Steckerleiste A. Hier könnte auch extern 
HALT und WAIT verdrahtet werden.
A7 liegt nun auf dem Enable eines "LV" IC's ;-)
Die Pinbelegung auf der Beschreibung ist angepaßt (STM-Turm). Die 
liegende CR2032 Variante ist ein Monster! Sie paßt jedoch aufs Layout.
Der Z180 kommt nun im QFP Gewand.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

> liegende CR2032 Variante ist ein Monster! Sie paßt jedoch aufs Layout.

Eben. Stehend ist sie nämlich auch ein Monster. Man könnte ja mal 
rechnen, obs eine kleinere auch tun würde. Allerdings ist die CR2032 
überall billig zu bekommen.

> Der Z180 kommt nun im QFP Gewand.
Welches Kriterium hat Dich denn zum Wechsel bewogen?


Heute habe ich mir auch mal das Datenblatt vom ATmega1281 gesaugt. Was 
hat sich Atmel denn bei der Zuordung der ISP-Pins gedacht? (Anhang)

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

> Welches Kriterium hat Dich denn zum Wechsel bewogen?
Das spart den PLCC Sockel und entspannt etwas das Layout. Leider ist die 
PLCC Version bei den Distributoren sehr viel verbreiteter. Die QFP 
Version habe ich derzeit nur bei Mouser gefunden.

> Was hat sich Atmel denn bei der Zuordung der ISP-Pins gedacht?
Der Hinweis war gut! Bei meiner Testversion (DIL) ist alles ok, in der 
geplanten TQFP-64 Version muß der ISP Stecker ja anders beschaltet 
werden :-( - muß ich fix noch ändern.

> Man könnte ja mal rechnen, obs eine kleinere auch tun würde.
Da der Platz ja vorhanden ist, darf sie auch liegen.

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Das spart den PLCC Sockel und entspannt etwas das Layout. Leider ist die
> PLCC Version bei den Distributoren sehr viel verbreiteter. Die QFP
> Version habe ich derzeit nur bei Mouser gefunden.

Und ich dachte, beide Versionen wären etwa gleich teuer und gleich 
verfügbar, weil Mouser der einzige Distributor war, bei dem ich geschaut 
hatte. In der Bucht gibt es ein paar PLCC, und aliexpress hat 
"interessante" Angebote für beides.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Ich hab mal die üblichen "Verdächtigen" abgegrast. Die QFP Variante ist 
bei keinem dieser Distributoren derzeit sofort verfügbar (sehr lange 
Lieferzeiten). Die PLCC Variante hingegen überall sofort. Bei Aliexpress 
findet man zwar die QFP Variante doch das scheint mir für potentielle 
Nachnutzer sehr unsicher. Lange Rede kurzer Sinn - das Layout gibt es ja 
nun für beide Varianten.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Ganz im Sinne von open source hardware hier nun die kompletten 
Schaltungsunterlagen und das Layout der Stamp Module (/sheet). Die 
benutze Eagle Version ist die 5.4. Im Ordner /docs findet ihr 
Schaltungen und Layout als PDF und im Ordner /sheet/Gerber die 
zugehörigen Gerber-Files. Wer Platinen habe möchte, meldet sich einfach 
bei mir. Ich werde sie diese Woche bei Elecrow in Auftrag geben (Special 
Offer For 2 Layer 10*10cm max green PCB - 5/10pcs).

Alle Unterlagen hier:
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/

Viel Spaß damit!

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Gerade sind die Leiterplatten eingetroffen. Von den 2x10 Stück sind noch 
6xZ180 und 7xAVR vorhanden. Der Stückpreis liegt bei 2.37$ also 1.73€ + 
Porto. Wer Interesse hat, bitte einfach bei mir melden. Berücksichtigt 
ist bereits Leo C. mit 2xZ180 und 1xAVR

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Der derzeitige Bestellstand. Bei Bedarf würde ich auch gleich eine 
Bestellung der IC's (Z180, AVR, SRAM, Micro-SD Holder, Quarz, RTC) 
machen.

       AVR    Z180
Olaf    I     I
Uwe           I
Harald  I     I
Leo C   I     II
Joe    II     II

von Marcel A. (dl1ekm)


Lesenswert?

Eine Sammelbestellung der "Spezialteile" macht sicherlich Sinn, da ja 
nicht alles "an der nächsten Ecke" lieferbar ist.

von Hans- w. S. (hschuetz)


Lesenswert?

Hallo Joe,
ich habe dir gerade bei Gaby.de geschrieben... an den Spezialteilen 
wären ebenfalls interessiert... Natürlich auch ein Satz Platinen Z180 
und AVR.
Gruß
Hans-Werner

: Bearbeitet durch User
von Harald N. (haraldn)


Lesenswert?

Joe G. schrieb:
> Der derzeitige Bestellstand. Bei Bedarf würde ich auch gleich eine
> Bestellung der IC's (Z180, AVR, SRAM, Micro-SD Holder, Quarz, RTC)
> machen.
>
>        AVR    Z180
> Olaf    I     I
> Uwe           I
> Harald  I     I
> Leo C   I     II
> Joe    II     II

Hi!

Bei den IC's wäre ich dann auch gleich mit dabei!

LG Harald

: Bearbeitet durch User
von Uwe B. (derexponent)


Lesenswert?

Harald Nagy schrieb:
> Bei den IC's wäre ich dann auch gleich mit dabei!


ich genauso



@Joe,

ich übernehme das Porto für alle, wenn du eine Sammelbestellung machst.



Gruss Uwe

von Marcel A. (dl1ekm)


Lesenswert?

Für die Platinen hatte ich mich ja schon "angemeldet" - bei den ICs bin 
auch gerne mit dabei!

Gruß
Marcel

von Jens (Gast)


Lesenswert?

Joe G. schrieb:
> Gerade sind die Leiterplatten eingetroffen.
Die sehen sehr gut aus. Ich kann aber nicht noch ein neues Projekt 
anfangen. Da werde ich ja mit den laufenden nie fertig :-/

Grüße,
Jens

von siggi (Gast)


Lesenswert?

Hallo Joe,

ich melde mich auch für einen Satz Platinen und natürlich auch die ICs 
dazu.

Das Projekt macht einen guten Eindruck, ich hoffe, dass ich die 
Lötarbeiten noch hinbekomme.

Gruß siggi

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Es sollten alle die sich bei mir und hier im Forum gemeldet haben nun 
eine Mail von mir bekommen haben. Sollte das nicht der Fall sein, bitte 
eine kurze Info an mich. Wie er derzeit aussieht, ist der erste Satz 
Platinen (2 x 10) nun verteilt.

von Harald N. (haraldn)


Lesenswert?

Hallo!

Ich mir grad nochmal die Unterlagen zu den Modulen angesehen. Hast du 
auch einen kompletten Schaltplan zum AVR Modul?

von Harald N. (haraldn)


Lesenswert?

Hat sich erledigt. Bin in der .sch Datei fündig geworden....

von Harald N. (haraldn)


Lesenswert?

Hallo!

Gibt's was Neues? Updates bzgl der Sammelbestellung?

LG

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Unter
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/Eagle/
ist nun eine *.LIB (Eagle) mit den Stamp-Modulen verfügbar. Eine 
ECB-Trägerplatine mit zweiter SD, 2 x echter RS-232 und einer 
Single-Step Logik steht kurz vor der Vorstellung.

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hier nun ein Vorschlag für eine ECB Karte. Derzeit untergebracht sind 
beide Stamp-Module, zwei RS232-Schnittstellen (3.3V auf  +/- 12V), eine 
SD-Card und eine Single-Step-Logik.
Die RS-232 führt auf zwei Wannenstecker, so dass 9-polige oder 25-polige 
SUB-D leicht gecrimpt werden können. Über die Single-Step-Logik könnte 
der Z180 vom AVR in den Einzelschrittbetrieb versetzt werden und der 
Zustand des Adress-, Daten- und Steuerbus in einem Monitorprogramm 
angezeigt werden. Die Verbindung Z180 Busstecker ist noch offen, das es 
einige unterschiedliche Varianten gibt [1]. Ich würde nun gerne eine 
Diskussion zum Entwurf anregen.

Welche Busvariante ist sinnvoll?
Was sollte noch auf die Karte?

[1] http://www.cpcwiki.eu/imgs/8/83/ECB_Bus_-_Pinout_Variants.pdf

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Hier nun ein Vorschlag für eine ECB Karte. Derzeit untergebracht sind
> beide Stamp-Module, zwei RS232-Schnittstellen (3.3V auf  +/- 12V),

MAX238 ist 5V only. Bei Maxim habe ich überhaupt keine 3.3V Transceiver 
mit je 4 Rx und 4 Tx gefunden. Bein anderen Herstellern habe ich nicht 
geschaut.
3Tx/5Rx gibts allerdings zahlreich, z.B. MAX3244. Damit ließe sich auch 
DCD0 noch versorgen.

> Die RS-232 führt auf zwei Wannenstecker, so dass 9-polige oder 25-polige
> SUB-D leicht gecrimpt werden können.

Find ich gut. Zusätzlich würde ich gerne auch die 3.3V-Seite irgendwie 
verfügbar machen, z.B. für Blutooth-, sonstige Funkmodule oder RS485.
Meine Seriellen haben fast alle irgendwo eine 4-polige Stiftleiste mit 
Versorgungsspannung und Rx/Tx.

> eine SD-Card und eine Single-Step-Logik.

SD-Karte ja. Single-Step könnte man ggf. auch extern anschließen. Dann 
mit Frontpanel. :) Aber Platz ist ja wahrscheinlich vorhanden...

> Die Verbindung Z180 Busstecker ist noch offen, das es
> einige unterschiedliche Varianten gibt [1]. Ich würde nun gerne eine
> Diskussion zum Entwurf anregen.
> Welche Busvariante ist sinnvoll?

Hier sind ja vor allem die Leute gefragt, die ECB haben wollten.
Die Euro-Karten, die ich noch habe, sind für dieses System 
uninteressant.

Welche Frquenzen verträgt der ECB-Bus denn? Mit 20MHz wird er wohl nicht 
laufen. Und die alten Karten, die man anschließen könnte, schon gar 
nicht.
Takthalbierungslogik?
Waitstate-Generator?

> Was sollte noch auf die Karte?

- Reset-Taser
- LED an HALT-Signal
- Lochrasterfeld
-

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> MAX238 ist 5V only.

Ich habe einfach den MAX3238 angenommen :-( Nach dem Studium des 
Datenblattes bin ich nun klüger. Eine 3 vor dem gleichen Type ist nicht 
unbedingt 3.3V :-( Ich werde also auf ein 3TX/5RX umstellen.

> Zusätzlich würde ich gerne auch die 3.3V-Seite irgendwie
> verfügbar machen.

Diese Pins können ja auch auf eine Stiftleiste. Hast du einen Favoriten 
bzw. eine Lieblingsbelegung?

> - Reset-Taser
> - LED an HALT-Signal

Ist schon realisiert. Ein Lochrasterfeld wird allerdings eng. Die beiden 
Stamp-Module + SD + RS232 füllen den Platz einer Eurokarte schon fast 
voll aus. Bei ECB warte ich nochmal auf Vorschläge. Ich würde es erst 
mal nicht benötigen.

@alle
Bis auf den 74LV138 sind die Teile eingetroffen. Dieses IC ist auf den 
10.07. terminiert. Wer seine Bauelemente und Leiterplatten schon früher 
haben möchte, melde sich einfach bitte kurz bei mir. Sie gehen dann auf 
die Reise.

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Ich habe einfach den MAX3238 angenommen :-( Nach dem Studium des

Und ich weiß gar nicht, wieso ich zum MAX238 abgedriftet bin. Der 
MAX3238 ist ja ein 3.3V-Typ und auch mit 3Tx/5Rx. Geht also doch. Nur 
etwas anders, als Du ursprünglich gedacht hast.

> Diese Pins können ja auch auf eine Stiftleiste. Hast du einen Favoriten
> bzw. eine Lieblingsbelegung?

GND/RXD/TXD/VCC. Wobei GND wahrscheinlich die 1 ist. Ich schließe auch 
diese Module[1] an, wobei dort RXD und TXD vertauscht sind. (Die 
Beschriftung ist aus Sicht der USB-Seite.) Aber die Module gibts in 
unzähligen Varianten, inzwischen sogar billig mit FTDI-Chip.
Die Leisten könnte man evtl. um die Steuerleitungen verlängern. Die 
RX-Leitungen sollten wohl von den Tranceiver-Rx-Ausgängen entkoppelt 
werden (Dioden/Widerstände/Jumper).

> Ist schon realisiert. Ein Lochrasterfeld wird allerdings eng. Die beiden
> Stamp-Module + SD + RS232 füllen den Platz einer Eurokarte schon fast
> voll aus.

Unter den Stamps ist doch auch noch reichlich Platz. Ein Feld mit 
Lötpunkten im 1.27 Raster wäre vielleicht auch ganz nett. 
Durchkontaktierte Löcher sind für SMD sowieso nicht optimal.



[1] 
http://www.ebay.de/itm/PL2303-USB-To-RS232-TTL-Converter-Adapter-Module-cable-NEW-/170813867942?pt=LH_DefaultDomain_0&hash=item27c54cc7a6

von Michael (Gast)


Lesenswert?

Leo C. schrieb:
>> Diese Pins können ja auch auf eine Stiftleiste. Hast du einen Favoriten
>> bzw. eine Lieblingsbelegung?
>
> GND/RXD/TXD/VCC. Wobei GND wahrscheinlich die 1 ist.
Ich finde die Belegung die bei PMODs verwendet wird ganz brauchbar:
VCC/GND/RX/TX

Michael

von Marcel A. (dl1ekm)


Lesenswert?

Hi,

hat jemand die IBAN von Joe für mich? Im Brief war nur die Kto-Nr, da 
streikt mein Banking-Programm :-)

Danke und Gruß
Marcel

von Leo C. (rapid)


Lesenswert?

> hat jemand die IBAN von Joe für mich?

Du hast Mail...

> Im Brief war nur die Kto-Nr, da

google wär'n Versuch wert.

> streikt mein Banking-Programm :-)

Bei mir hat das Onlinebanking sich nach der Buchung geweigert, eine 
Vorlage mit Kto-Nr. zu speichern, aber die die IBAN angezeigt. Und 
Umlaute können die Banken auch immer noch nicht...

von Harald N. (haraldn)


Lesenswert?

Danke für die Mail mit IBAN und BIC, bei EU-Überweisungen geht nämlich 
nur mehr so!

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Inzwischen habe ich die beiden Platinen aufgebaut. Die AVR-Platine 
funktioniert so weit, aber ich habe noch nicht alle Funktionen getestet.

Auf der Z180-Platine ist ein Layoutfehler.

Pin 8 (RESET) der CPU ist mit Pin 5 (WAIT) verbunden, statt mit R9 und 
der Steckerleiste. Leider ist die Verbindung unter dem PLCC68-Sockel, 
den ich schon eingelötet hatte. Und noch schlimmer, ich habe die beiden 
Platinen schon fest mit Drähten verbunden, da mir die passenden 
Steckverbinder noch fehlen. Da ich keine Lust hatte, wieder alles 
auseinander zu reißen, habe ich die Leiterbahn von der 
Platinenunterseite her durchbohrt. :)

Weitere Fehler habe ich bisher noch nicht gefunden, aber mein Monitor 
(von der STM32/HD64180-Kombination) läuft leider noch nicht. Vielleicht 
gibts doch noch eine Inkompatibilität zwischen HD und Z180.

von Harald N. (haraldn)


Lesenswert?

Hallo!

Ich bin mit der Z180-Stamp quer eingestiegen, aber interessiere mich 
auch für das "alte" Projekt. Gibt irgendwo eine Zusammenfassung der 
aktuellsten Hardware und Software, sodass ich nicht den ganzen 
CPM-Thread durchackern muss...? Gibt's da eventuell sogar noch Platinen 
dafür?

Danke!

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

Es gibt noch den Artikel:
http://www.mikrocontroller.net/articles/AVR_CP/M

Leider ist der auch nicht mehr so übersichtlich und nicht auf dem 
neuesten Stand.

Zu Platinen kann ich nichts sagen. Da das ganze System aber nur aus MCU, 
Quarz, 2 DRAMs, SD-Sockel und ein paar Stützkondensatoren und Pullups 
besteht, kann es auch leicht auf Lochraster aufgebaut werden.

von Harald N. (haraldn)


Lesenswert?

Super danke! Dann werd ich mir die Infos mal aus dem Artikel ziehen und 
dann mit dem Thread updaten. Erleichtert ja schon mal sehr die Arbeit! 
Dass das ganze auf Lochraster geht kommt mir sehr entgegen!

von Leo C. (rapid)


Lesenswert?

Übrigens läuft mein Z180-Stamp inzwischen (seit Samstag). Am RAM-Chip 
war noch ein Lötfehler.

Jetzt fehlen mir noch der Micro-SD-Sockel und anständige und bezahlbare 
Stift/Buchsenleisten.

Den Sockel habe ich u.a. bei Völkner/Conrad gefunden. Zu den Leisten 
wollte ich Joe schon immer mal fragen, was er verwenden wollte. 
Insbesondere, wenn man die Platinen stapeln will. Aber da er gerade im 
Urlaub weilt, hat jemand einen Vorschlag?

Bei Interesse und wenn es sich rechnet, würde ich eine Sammelbestellung 
für die beiden Sachen machen. Sonst noch was?

von Harald N. (haraldn)


Lesenswert?

Hi!

Ich hab Joe schon eine EMail geschrieben, zwecks der Bauteile und warte 
auf seine Rückkehr aus dem Urlaub. Wenn sich da was bzgl 
Sammelbestellung ergeben würde, wäre ich wieder mit dabei!

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Übrigens läuft mein Z180-Stamp inzwischen (seit Samstag).
super!

> Zu den Leisten
> wollte ich Joe schon immer mal fragen, was er verwenden wollte.
Am Sonntag bin ich wieder zurück. Dann werde ich mit einem Vorschlag 
dazu melden, es gibt einreihige Buchsen-Stiftleisten mit überlangen 
Kontakten. Ich glaube Fischer Elektronik ist das Stichwort. Schaut mal 
nach SL20 THR164 oder ähnliches.

Das BIOS lade ich am Sonntag auch mit hoch.

Nun gehts wieder an den Strand,
Joe

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Hier nun der Vorschlag für die Verbindung der beiden Leiterplatten.

Die untere Platine (Z180) bekommt 2 x eine Stiftleiste Type SL 1 179 32S 
(Fischer). Die Stiftleiste wird von der Platinenunterseite durchgesteckt 
und auf der Oberseite verlötet. Auf der Unterseite sitzt dann das 
Plastteil mit den kurzen Stiften. Die langen Stifte gehen durch die LP 
und schauen noch 15mm nach oben. Die obere Platine (AVR) bekommt 2 x 
eine Buchsenleiste Type BL 5 025 32 (Fischer) auch auf die Unterseite 
gelötet.
Damit gibt es nun die folgenden Steckvarianten.

1.Beide Platinen „huckepack“. Unten Z180 oben AVR
2.Beide Platinen auf einer Eurokarte. Z180 auf Buchsenleiste, AVR auf 
Stiftleiste. Damit können bein Stecken beide Platinen nicht verwechselt 
werden.

von Leo C. (rapid)


Lesenswert?

Hallo Joe,
so ist es ja einfach. :)
Ich hatte nach Stiften gesucht, die man unten stecken kann, und oben 
eine Buchse haben. So was findet man in den Katalogen der Hersteller, 
aber (fast) nicht bei den Händlern. Also wenn man die überhaupt bekommt, 
dann unverhältnismäßig teuer.

> Damit können beim Stecken beide Platinen nicht verwechselt werden.

Das kann man als Vorteil sehen. Aber man kann die Platinen nicht mehr 
beliebig aufeinander türmen. Allerdings geht das ja sowieso nicht 
wirklich, weil die Steckerbelegungen ja nicht identisch sind.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Ich hatte nach Stiften gesucht, die man unten stecken kann, und oben
> eine Buchse haben.

Gibt es auch:
http://www.fischerelektronik.de/web_fischer/de_DE/Steckverbinder/G02/Buchsenleisten/VA/BL1332/index.xhtml

Ich habe mal nach einem 50ziger Los angefragt.

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Der von Leo C. entdeckte Fehler (Danke!) ist korigiert und die neuen 
Daten liegen im SVN. Außerdem gibt es eine Fehlerbeschreibung und ein 
Korrekturvorschlag. Wer die PLCC-Fassung schon bestückt hat, folgt am 
besten dem LEo C. Vorschlag der kleinen Bohrung, ansonsten kann der 
Leiterzug einfach aufgetrennt werden.

von Harald (Gast)


Lesenswert?

Harald Nagy schrieb:
> Hi!
>
> Ich hab Joe schon eine EMail geschrieben, zwecks der Bauteile und warte
> auf seine Rückkehr aus dem Urlaub. Wenn sich da was bzgl
> Sammelbestellung ergeben würde, wäre ich wieder mit dabei!

@Joe: hast du meine email bekommen?

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Joe G. schrieb:
> Gibt es auch:
> 
http://www.fischerelektronik.de/web_fischer/de_DE/Steckverbinder/G02/Buchsenleisten/VA/BL1332/index.xhtml

Dürfte wohl deutlich teurer werden.
Deine ursprüngliche Lösung ist eigentlich ganz gut, da man die Platinen 
sowieso nicht wirklich stapeln kann, wenn man die zusätzlichen AVR-Ports 
auch nutzen will.

Meine Karten sind z.Zt. allderdings gestapelt. Weil ich nicht auf die 
optimale Stecklösung warten wollte, habe ich sie kurzerhand mit 
Drahtstücken übereinander gelötet. War etwas ungeschickt, weil an der 
Z180-Platine ja noch gar nichts getestet war.


Noch ein Punkt für die (ECB-) Basiskarten-Wunschliste:
"Kaltstarttaste" an einem der AVR-Ports, um Defaultwerte aus dem EEPROM 
zu laden. Kann evt. auch mit dem Z180-Reset kombiniert werden.
(Ich bastle gerade einen Boot-Monitor, bei dem man alles verkonigurieren 
kann. Sozusagen ein U-Boot[1]-Port ;)

[1] http://www.denx.de/wiki/U-Boot/WebHome

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> "Kaltstarttaste" an einem der AVR-Ports, um Defaultwerte aus dem EEPROM
> zu laden. Kann evt. auch mit dem Z180-Reset kombiniert werden.

Da haben wir beide aber die gleiche Idee gehabt :-) Du nennst es U-Boot 
ich hatte die Idee eines BIOS-Setup ähnlich der üblichen PC Hardware. Da 
es keine Tastatur im unserem Konzept gibt sollte eine "Kaltstarttaste" 
dafür herhalten.

von Harald N. (haraldn)


Lesenswert?

@all: Joe würde eine Sammelbestellung der notwendigen Steckverbinder 
durchführen, wenn genügend Leute mitmachen. Wer außer mir hätte dabei 
noch Interesse?

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Da haben wir beide aber die gleiche Idee gehabt :-) Du nennst es U-Boot

U-Boot würde ich es gerade nicht nennen, da das ein weit verbreiteter 
Bootloader für Single-Board-Computer, die mit Linux oder ähnlichem 
laufen, ist. Dessen Funktionsumfang würde nicht mal annähernd in unseren 
AVR-Speicher passen. Das Konzept kann man aber übernehmen (und Teile des 
Codes auch ;). Ich halte das für flexibler, als das PC-BIOS mit seinen 
fest vorgegebenen Menüs.

Das Konzept ist ganz kurz und knackig hier beschrieben:
http://www.denx.de/wiki/view/U-Bootdoc/UserInterface

Wobei für uns die HUSH Shell natürlich nicht in Frage kommt, sondern nur 
das "simle CLI".

von Marcel A. (dl1ekm)


Lesenswert?

Bei Reichelt gibt es etwas ähnliches -aber auch sehr teuer...

Von daher wäre ich eher an der ECB-Platine interessiert, das erscheint 
mit flexibler.

@Leo: Den Micro-SD-Reader habe ich bei Mauser "als Muster" bekommen :-)

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Joe G. schrieb:
> Gibt es auch:
> 
http://www.fischerelektronik.de/web_fischer/de_DE/Steckverbinder/G02/Buchsenleisten/VA/BL1332/index.xhtml

Heute man das Angebot.
50 Stück 381.80 EUR + MwSt.
100 Stück 190,90 EUR + MwSt.

1,90 das Stück würde ja noch gehen, doch wer benötigt 100 Stück? Bei 
zwei Stamp Modulen sind es gerade 4 Leisten, müßten sich also 25 
Interessenten finden.

von Harald (Gast)


Lesenswert?

Ich denke wir sollten warten bis die Urlaubszeit vorbei ist....
Von den leisten würde ich evtl mehr als vier nehmen.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Auch wenn es bei einem Hobby-Einzelstück nicht so auf die Kosten 
ankommt, finde ich 9 Euro (Mwst) für die Leisten schon unverhältnismäßig 
teuer. Einfache Stift- und Buchsenleisten kosten nur ein paar Cent. 
Einer Sammelbestellung würde ich mich anschließen, wenn es hilft, die 
Kosten zu senken. Eilt aber nicht, da ich ja eine vorläufige Lösung 
habe.
Die Vorteile beim nebeneinander Stecken dürften wohl überwiegen.


Im Anhang ist ein Hexfile mit Software zum Testen. Der Monitor kann zwar 
fast noch nix, ist aber schon 34KB groß. ;) Davon ca. 12KB Z180- 
Debugger. Der Sourcecode ist noch in einem Zustand, in dem ich ihn 
ungern veröffentlichen würde. Auf Anfrage (PM) kann ich ihn aber 
zuschicken.

Environment-Variablen sind so eingestellt, daß der Debugger beim 
Einschalten/AVR-Reset in den Z180-Speicher geladen und gestartet wird.
Environment kann man zwar ändern, aber noch nicht dauerhaft speichern.
Es gibt zwar eine Variable für die Baudrate, aber die hat noch keinen 
Effekt. Es werden versehentlich noch einige Debug-Meldungen ausgegben.

Beim Debugger handelt es sich um den bekannten DDTZ, den ich vor fast 30 
Jahren disassemblierte, und für mein damaliges HD64180 System ROM-fähig 
und erweitert hatte. Spezielle Z8S180/Z8L180 Features unterstützt er 
noch nicht. Deshalb läuft der Prozessor auch nur mit halbem Einganstakt. 
Eine kleine Hilfe ('?' drücken) habe ich kürzlich eingebaut.

Vorraussetzungen:
Z180:
- Pulldwon (10k) an DREQ0 (CKA0), Pin A17 an Stiftleiste.
- Terminal (-Emulator) mit 57600 Baud an ASCI1, (RXA1/TXA1)
- Clock 18,432 MHz, z.B. CLKO-Jumper

AVR:
- Terminal mit 115200 Baud an FTDI
- Quarz 18,432 MHz
- Ggf. CKOUT-Fuse brennen

: Bearbeitet durch User
von Marcel A. (dl1ekm)


Lesenswert?

Wahrscheinlich wieder eine ziemlich blöde Frage...:
Auf dem AVR-Board hängt am Uhren-Chip PCF8583 ein "32khz"-Quarz + 
Drekko.
Ich finde aber überall nur 32,768kHz (Uhrenquarz). Gehe ich recht in der 
Annahme, dass das passt (laut Datenblatt PCF8583 müsste das auch so 
sein)? Oder muss es genau 32,000 sein?

Danke und Gruß
Marcel

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Marcel A. schrieb:
> Ich finde aber überall nur 32,768kHz (Uhrenquarz). Gehe ich recht in der
> Annahme, dass das passt ?

Ja, deine Annahme ist korrekt. Es wird ein Uhrenquarz eingesetzt.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Frontpanel :-)

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Sehr hübsch! Gehört mit einer Single-Step-Taste auf die Frontplatte :-)

von Marcel A. (dl1ekm)


Lesenswert?

Wollte mal fragen, wie es um das Thema "Basisplatine" bestellt ist?
Für den Fall, dass ich mal die beiden Boards fertig bekomme...

Ein kleines HowTo/Starthilfe wäre sicher auch nicht schlecht - aber ich 
merke schon, ich oute mich gerade wieder als Anfänger... :-)

von Wolfram K. (proof80)


Lesenswert?

Hallo zusammen,

ich bin seit ein paar Tagen aus dem Urlaub zurück und wollte mich nun 
auch daran machen, die zwei Platinen zu bestücken.
Hat eigentlich jemand eine Teileliste, um das Bestellen zu vereinfachen? 
Alles von den Unterlagen (Schaltbild und Layout) abklamüsern ist halt 
Aufwand.

An den Steckverbindern bin ich auch interessiert. Beim Arduino wird ja 
mit den shields was ähnliches getrieben, insofern ich auch ein paar mehr 
nehmen würde ...

Gruß, Wolfram

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Wolfram K. schrieb:
> Hat eigentlich jemand eine Teileliste, um das Bestellen zu vereinfachen?
> Alles von den Unterlagen (Schaltbild und Layout) abklamüsern ist halt
> Aufwand.

Wieso ist das Aufwand? Kann man doch mit Eagle exportieren.
Im Anhang ist das Ergebnis als csv. Kann in jede Tabellenkalkulation 
importiert werden.

von Wolfram K. (proof80)


Lesenswert?

Leo C. schrieb:
> Kann man doch mit Eagle exportieren.

Danke für den Tipp - nur hab ich eagle noch nicht mal installiert, da 
ich die Platinen ja von Joe bezogen habe. Und nochmals danke für die 
Tabellen - damit hast Du mir schon sehr viel weitergeholfen.

Noch irgend welche Hinweise zum Bezug der Teile?

Fröhliches Basteln allerseits!

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Marcel A. schrieb:
> Wollte mal fragen, wie es um das Thema "Basisplatine" bestellt ist?
Das Schalungsdesign ist noch nicht ganz fertig. Bin aber dabei.

> Ein kleines HowTo/Starthilfe wäre sicher auch nicht schlecht
Ich notiere gerade die wichtigsten Schritte beim Zusammenbau um eine 
kleine Bauanleitung zu schreiben.

> Noch irgend welche Hinweise zum Bezug der Teile?
Eigentlich alles bei Reic*elt oder ähnlichen Anbietern.

von Harald N. (haraldn)


Lesenswert?

Joe G. schrieb:
>> Noch irgend welche Hinweise zum Bezug der Teile?
> Eigentlich alles bei Reic*elt oder ähnlichen Anbietern.

Kannst du evtl. einen passenden SD-Halter und vlt auch USB-Buchse bei 
Re*chelt angeben?
Sind die Elkos Standardgrößen? Bei den Widerständen und Kerkos sind ja 
die Größen dabei. Kenn mich mit SMD-Standardteilen nicht so aus.

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

Harald Nagy schrieb:
> Kannst du evtl. einen passenden SD-Halter und vlt auch USB-Buchse bei
> Re*chelt angeben?

Den SD-Halter gibts bei Reichelt nicht. Ich habe ihn bei Völkner, 
Conrad, und einigen Distributoren gesehen. (Leicht zu finden, wenn man 
nach der Würth Nummer googelt.)

Ich habe vor, demnächst bei einem von denen aus anderen Gründen zu 
bestellen, und könnte evtl. ein paar Kartenhalter mitbestellen, falls 
sich das rechnet.

Leider weiß ich auch immer noch nicht,  welche Steckerleisten ich an die 
Stamp-Karten machen soll. Im Moment ist mir die Variante mit 
übereinander stecken wieder sehr sympathisch...

edit: Der Sockel:
http://www.conrad.de/ce/de/product/1088844/WR-CRD-Micro-SD-Kartensockel-mit-Deckel-8-Pins-Pole-8-Wuerth-Elektronik-Inhalt-1-St

: Bearbeitet durch User
von Harald N. (haraldn)


Lesenswert?

Klingt gut. Bei den Kartenhaltern wäre ich dann dabei! Sonst müsste ich 
mal schauen ob ich bei Conrad sonst noch was finde, sonst rechnet sich 
der Versand nicht....

Sollte sich bezgl der Leisten nichts ergeben (scheint sich niemand dafür 
zu interessieren), werde ich auf "normale" Stecker- und Buchsenleisten 
ausweichen, da ich die Stamps ohnehin nicht stapeln werde.

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

Bei Völkner gibts gerade kostenlosen Versand ab 25€.

von Harald N. (haraldn)


Lesenswert?

Leo C. schrieb:
> Bei Völkner gibts gerade kostenlosen Versand ab 25€.

Sicher nicht nach Österreich. Aber danke ich schau mal nach....
Ok, die liefern nicht mal nach Österreich...

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Bei der Bestückung des Kartenhalters geht es arg eng zu wenn eine 
6polige ISP-Buchse mit Rand verwendet wird. Es paßt auf den 1/10mm ;-) 
Mit kragenloser Buchse ist endlos Platz.

von Wolfram K. (proof80)


Lesenswert?

Leo C. schrieb:

> Den SD-Halter gibts bei Reichelt nicht. Ich habe ihn bei Völkner,
> Conrad, und einigen Distributoren gesehen. (Leicht zu finden, wenn man
> nach der Würth Nummer googelt.)
>
> edit: Der Sockel ...
> product/1088844/WR-CRD-Micro-SD-Kartensockel-mit-Deckel-8-Pins ...

Es gibt zumindest bei Conrad noch die push-push-Variante des Sockels, 
die mir persönlich sympatischer ist:
http://www.conrad.de/ce/de/product/1088843/WR-CRD-Micro-SD-Kartensockel-Push-Push-8-Pins-Pole-8-Wuerth-Elektronik-Inhalt-1-St


Außerdem habe ich die meisten anderen fehlenden Teile bei Reichelt 
zusammengesucht und für beide stamps als öffentlichen Warenkorb 
gespeichert:
https://secure.reichelt.de/index.html?&ACTION=20&LA=5010&AWKID=951391&PROVID=2084
Wer mag, kann ihn als Grundlage für die eigene Bestellung nehmen und so 
Suchzeit sparen - aber ohne Garantie, denn ich hab selbst gerade erst 
meine Bestellung aufgegeben und konnte daher noch nicht überprüfen, ob 
alles so passt.

Und wer schon bei Conrad bestellt, für den ist vielleicht auch diese 
Leiste (wirewrap) eine Option:
http://www.conrad.de/ce/de/product/741634/Praezisions-Buchsenleiste-Wire-Wrap-RM-254-gerade-Pole-1-x-36-10120856-BKL-Electronic-Inhalt-1-St
Ich werde sie jedenfalls ausprobieren.

von Leo C. (rapid)


Lesenswert?

Wolfram K. schrieb:
> Es gibt zumindest bei Conrad noch die push-push-Variante des Sockels,
> die mir persönlich sympatischer ist:

Das Layout sieht aber ganz anders aus. (Das Bild im Serviervorschlag 
scheint aber auch nicht zum Datenblatt zu passen).

> Und wer schon bei Conrad bestellt, für den ist vielleicht auch diese
> Leiste (wirewrap) eine Option:

Die war mir auch schon aufgefallen, ist mir aber viel zu teuer.
Ich habe jetzt zufällig mal in die Bewertungen geschaut. Das Bild passt 
wohl auch nicht zum Datenblatt.

von Wolfram K. (proof80)


Lesenswert?

Ok, die push-push-Variante des SD-Kartensockels passt definitiv nicht - 
danke für den Hinweis.

Und die wirewrap-Leisten nehmen leider nur Rundstifte auf und sind damit 
nicht stapelfähig ...

Hat jemand denn noch was mit gescheiten Leisten in petto?

von Leo C. (rapid)


Lesenswert?

Gerade gefunden:
http://www.electrodragon.com/product/arduino-special-used-break-away-pin-header-with-long-male-pins-68-pins/

Mal schauen, was ich dort sonst noch finde.
Nachdem ich bei Conrad/Völkner mehrmals über falsche Produktfotos 
gestolpert bin, und nun auch noch diesen[1] dreisten Betrugsversuch 
gesehen habe, werde bei denen sicher nichts mehr kaufen.


[1] Beitrag "Re: VOLTCRAFT DSO-3062C 60 MHz = baugleich mit?"
Anzeige eines 800x480 Displays wurde in das Foto eines Gerätes montiert, 
daß ein 320x234 Display hat. Sowas passiert sicher nicht aus Versehen.

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Ich habe eigentlich eine ganz gute Lösung gefunden.
4 x Buchsenleiste 2,54 mm, 1x16, gerade (MPE 094-1-016) für 0.32€ das 
Stück bei Reichelt und 2 x Stiftleiste 32 polig gerade und löte beide 
zusammen.
Bei der Buchsenleiste muss an einer Seite etwas weggefeilt werden, dann 
wird eine wunderschöne Leiste für 32 Pins daraus.

Achtung!
Der Batteriehalter KZH 20-1 muß umgedreht (Plus und Minus tauschen) 
eingelötet werden. Ich habe in der Eagle Lib die Pole vertauscht, sorry 
:-(

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Ich werde die 8-poligen Buchsen/Steckerleisten bei Electrodragon 
bestellen.
Preis pro 10er Pack 0,93 Euro.
Für die beiden Stamp-karten braucht man 16 Stück.

Wer Interesse hat, kann mitbestellen. Dazu kämen die anteiligen 
Versandkosten (fast vernachlässigbar, da ich noch einige andere Teile 
bestellen will) und die Versandkosten von mir zum Besteller. ca 
0,90€..1,50€

Übrigens hat Electrodragon auch Knopfzellenhalter[1], die so aussehen 
wie auf meinen Fotos oben[2]. 10 Stück für 0,77€. Dieser Halter paßt 
noch ganz knapp neben den Quarz. Kann ich bei Interesse auch noch 
mitbestellen. Es müßten aber ca. 10 zusammen kommen, da ich selber noch 
ausreichend eingedeckt bin.

http://www.electrodragon.com/product/cr2032-cr2025-battery-holder/
Beitrag "Re: Z180-Stamp Modul"

von siggi (Gast)


Lesenswert?

Hallo Leo,

ich wäre bei einer Bestellung dabei. Vier mal 10 Stück hätte ich gerne. 
Und wenn möglich, 4 Knopfzellenhalter. Falls Du die SD-Halter noch 
bestellst, für mich auch 4 Stück.

Danke und Gruß
Siggi

von Harald (Gast)


Lesenswert?

Ich wäre auch dabei mit 16 Leisten, 1 Knopfzellenhalter, 1 SD-Halter.
LG Harald

von Leo C. (rapid)


Lesenswert?

siggi schrieb:
> Falls Du die SD-Halter noch bestellst

Sorry, das wäre bei Conrad gewesen. Muß ich erst mal wieder neu suchen.

von Marcel A. (dl1ekm)


Lesenswert?

Da man ja auch noch Leisten braucht, um ggf. beide Platinen 
nebeneinander auf eine Board zu steckken, brauche ich dann 7 x 10 
Leisten. Und eine Knopfzellenhalterung nehme ich auch...

von Leo C. (rapid)


Lesenswert?

Frage: Wie herum baut man die Leisten am Besten ein? Stifte oben, 
Buchsen unten, oder umgekehrt?

Marcel A. schrieb:
> Da man ja auch noch Leisten braucht, um ggf. beide Platinen
> nebeneinander auf eine Board zu steckken,

Leisten oder Buchsen? Aber auch wenn man stapelt, kann eine 
"Bodenplatte" sinnvoll sein.

Anyway, ED hat auch "normale" Buchsen- und Stiftleisten. Für mich werde 
ich diese mitbestellen (jeweils "männlich" und "weiblich"):
http://www.electrodragon.com/product/break-away-header/
Alternative Buchsen: 
http://www.electrodragon.com/product/10pcs-16pin-2-54pitch-pin-header-female-for-1602-lcd/
(Die muß man nicht zuschneiden/sägen, aber teurer)


Die Bestellung möchte ich gerne bis Sonntag Abend zusammen haben. Bis 
dahin kann man hier ja noch über die Bauteile diskutieren. Konkrete 
Bestellungen möchte ich aber gerne per PM oder E-Mail haben. Auch von 
denen, die sich hier schon gemeldet hatten (Siggi, Harald, Marcel).

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Um die Dokumentation bei diesem Projekt nicht so sträflich wie beim AVR 
CP/M zu vernachlässigen, anbei die Startvariante der Doku. Sie wird 
zukünftig auch im SVN liegen. Wer etwas dazu beizutragen hat, kann gerne 
daran mitarbeiten. Hinweise, Fehler, Erweiterungen sind 
selbstverständlich gerne gesehen.

: Bearbeitet durch User
von Leo C. (rapid)


Lesenswert?

Den Micro-SD-Slot gibts bei den großen Distributoren (Farnell, Digikey, 
Mouser, RS Components) und Mercateo. Die haben alle höhere 
Mindestbestellwerte, oder liefern nicht an Privat.

Distrelec hat ihn für 3,52€ pro Stück + 8,72€ Versandkosten, jeweils 
incl. MwSt.

ebay hat 2 zu teure Angebote aus UK und Aliexpress hat nix.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Die letzte Zeit habe ich mal etwas mit dem Takt für den Z180 
experimentiert.  Statt dem CLKO-Pin am AVR kann man auch einen 
Timer-Ausgang nehmen. Damit kann man den Takt zwischen 0,27Hz und 
9,22MHz einstellen. Mit dem Z8S180 Takt-Verdoppler kommt man dann wieder 
auf 18,432 MHz. Mehr als 20 MHz kann der Z8S180 bei 3.3V Vcc ja sowieso 
nicht.

Als Taktausgang am AVR bietet sich PB7 (OC0A oder OC1C) oder PB5 (OC1A) 
an. PE7 (CLKO) wird dann frei.


Im Anhang ist der 
AVR Bootloader FastBoot von Peter Dannegger/Tutorial ATtiny13, 
passend konfiguriert für die AVR-Stamp. Die Programmierung über ISP ging 
mir ziemlich schnell auf die Nerven, da beim ATmega1281 die 
ISP-Schnittstelle auf den Pins der Seriellen liegt. Und an letzterer hat 
man ja für gewöhnlich ein Terminal, bzw. einen Terminalemulator.

von Marcel A. (dl1ekm)


Lesenswert?

Genial...

von Leo C. (rapid)


Lesenswert?

Zwischenstand Bestellung:

226 Stück 'Arduino Special-Used Pin Header [8 Pins]'[1]
  6 Stück 'CR2032 CR2025 Battery Holder'[2]

Beides wird nur in 10er Packs geliefert. Da ich eigentlich noch genug 
Knopfzellenhalter habe, würde ich mich freuen, wenn mir jemand noch ein 
paar abnimmt. Es bringt mich aber auch nicht um, wenn ich darauf sitzen 
bleibe (7,7 Cent/Stück).


[1]http://www.electrodragon.com/product/arduino-special-used-break-away-pin-header-with-long-male-pins-68-pins/
[2]http://www.electrodragon.com/product/cr2032-cr2025-battery-holder/

von Marcel A. (dl1ekm)


Lesenswert?

Ok - 2 :-)

von Leo C. (rapid)


Lesenswert?

> Ok - 2 :-)

2 was?

Da ich auf den Micro-SD-Kartenslot angesprochen wurde:
Wenn 4 Stück zusammen kommen, kosten die bei oben genannter Quelle[1] 
5,70 Euro. Bei z.Zt. unwahrscheinlichen 10 Stück wären es immer noch 
4,40 Euro.
Mir persönlich zu teuer, aber wenn gewünscht, bestelle ich die so. Dafür 
bitte nochmal per PM oder E-Mail B'scheid sagen (vielleicht mit 
Preislimit).


[1]Beitrag "Re: Z180-Stamp Modul"

von Wolfram K. (proof80)


Lesenswert?

Vorschlag:
Phase 1 (Teilebeschaffung und Aufbau) hier und
Phase 2 (Inbetriebnahme und Test) in einem neuen thread 
Beitrag "Z180-Stamp und AVR-Stamp Inbetriebnahme und Test" diskutieren.

Könnte etwas zur Übersicht  beitragen ...

von Marcel A. (dl1ekm)


Lesenswert?

Stimmt.

Ich meine nur, ich nehme gerne auch 2 Batteriehalter, damit 10 zusammen 
kommen :-)

von Leo C. (rapid)


Lesenswert?

Wolfram K. schrieb:
> Vorschlag:
> Phase 1 (Teilebeschaffung und Aufbau) hier und
> Phase 2 (Inbetriebnahme und Test) in einem neuen thread

Und jedes mal, wenn sich der Fokus leicht ändert, ein neuer Thread, oder 
wie?

> Beitrag "Z180-Stamp und AVR-Stamp Inbetriebnahme und Test" diskutieren.

Ich dachte, das Thema verläuft sich. Ich habe gerade jetzt erst gesehen, 
daß Du tatsächlich schon einen neuen Thread aufgemacht hast.

> Könnte etwas zur Übersicht  beitragen ...

So unübersichtlich finde ich es hier nicht. Im Durchschnitt weniger als 
ein Beitrag pro Tag, schätze ich mal.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Hallo Wolfram,
ich bin so dreist, und antworte hier auf Deinen Artikel. Sicher wirst Du 
die Antwort auch hier finden. Falls Dein anderer Thread doch noch ins 
Rollen kommt, kann ich ihn ja immer ncoh abonieren.

Wolfram K. schrieb:
> Die Stromversorgung hat Joe schon ganz gut in
> http://www.mikrocontroller.net/attachment/229766/Stamp_Doku.pdf erklärt.
> Ich werde wohl den 3,3 V-Regler auf dem Z180-Stamp aufbauen, da ich
> gerade keine andere Stromversorgung zur Hand habe. Und dann?

Da die AVR-Karte Strom über USB bekommt, und ich diese zuerst in Betrieb 
nehmen wollte, habe ich ihr einfach einen passenden Regler an die Kante 
montiert. Vielleicht kann man das auf meinen Bildern weiter oben sehen. 
Ich gebe aber zu, daß das nicht die Lösung für alle ist. Eigentlich 
wollte ich den Regler auch wieder abbauen, wenn die Z180-Karte dran 
kommt...

> - Wie programmiere ich den AVR zum ersten Mal? Wahrscheinlich über den
> 6poligen ISP-Stecker.

Genau. Bei der Gelegenheit die Fuses einstellen. Wenn Du dem Z180 keinen 
extra Quarz verpassen willst, solltest Du (bis auf weiteres) die 
CLKO-Fuse brennen, damit der AVR seinen Takt auf CLKO/PE7 ausgibt.

Meine Fuses stehen auf:
avrdude: safemode: Fuses OK (E:F5, H:D6, L:AF)
Ohne Bootloader müßte          F5    D1    AF
passend sein.

> Programmer (elektor) ist vorhanden. Macht es Sinn,
> gleich den bootloader von Leo zu verwenden?

Am Anfang wäre vielleicht über ISP sinnvoller, weil dann eine 
Fehlerquelle weniger. Und wenn man nur gelegentlich ein neues Programm 
aufspielt, kann man auch dabei bleiben. Aber man bekommt halt jedesmal 
Zeichensalat und evtl. nervendes Piepsen über die serielle Schnittstelle 
in den Terminalemulator.

> - Wie kann ich die einzelnen Komponenten (USB, Speicherkarte, Uhr)
> testen?

Indem man Testprogramme dafür schreibt? ;-)

> Hat jemand dazu schon ein paar Testroutinen geschrieben?

Einen Teil kannst Du auch mit meinem angehängten Programm testen.
Im wesentlichen gilt das gleiche, wie oben[1] schon mal geschrieben.
Plus:
- Environment Variablen kann man jetzt dauerhaft speichern.
- Baudrate kann man einstellen, wird aber nur beim Neustart übernommen.
- Datum und Uhrzeit kann man einstellen. (Wochentag wird falsch
  angezeigt).
- Einstellbarer Clock an PB7, Steckerleiste A20. Experimentell, bei
  lansamem Takt funktionieren die Commands restart, mstep, und
  go <addr> nicht.
- Debug Commands (die mit ! beginnenden), um RAM und EEPROM vom AVR
  anzuschauen.
- Überraschende Debugging Ausgaben.

SD-Karte geht leider immer noch nicht.


Edit: Bild angehängt

[1]Beitrag "Re: Z180-Stamp Modul"

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Der WR-CRD mikro SD 8-Pin kostet bei RS 4,29€ + MwSt das Stück, ab 15 
Stück 3,64. Bei Bedarf bestelle ich.

Ich würde eigentlich hier in diesem Thread bleiben wollen. Die 
Beschaffung verläuft sich auch wieder.

Wolfram K. schrieb
> Ich habe gerade den AVR-Stamp fertig zusammengelötet und stehe vor der
> Frage, wie ich nun weiter vorgehe.

Leo C. hat ja schon einiges gerade dazu erkärt. Parallel versuche ich 
die Doku zu schreiben. Der nächste Schritt wird die Programmierung des 
AVR und des Bootloaders sein. Zunächst erfolgt meine Beschreibung für 
Windows, für Linux fehlt mir die Zeit UND die Erfahrung. Wenn hier 
jemand etwas dazu schreibt (einfach als TXT-File) baue ich es gerne ein.

von m.n. (Gast)


Lesenswert?

Joe G. schrieb:
> Bei Bedarf bestelle ich.

Ich plane gerade ein STM32F4-Platinchen und hatte mir diese ausgesucht: 
http://www.pollin.de/shop/dt/Mzc0ODQ1OTk-/Computer_und_Zubehoer/Hardware/Speicherkarten/micro_SD_Speicherkarten_Sockel_ATOM_MR01_AP20324.html

Sehr günstig und hat sogar einen Pin mehr!
'Second-Source' hat Segor für 2,20.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

m.n. schrieb:
> Sehr günstig und hat sogar einen Pin mehr!

Prinzipiell gibt es unglaublich viele Varianten. Für eine einmalige 
Bastellösung ist das absolut ok. Wenn ein Projekt jedoch in die Breite 
geht, es von vielen nachgenutzt wird, stellt sich sofort die Frage nach 
der Verfügbarkeit. Wie sieht es damit in zwei z.B. Jahren aus? Schon 
beim AVR CP/M Projekt hatten wir das Problem. Die erste Variante haben 
wir Anfang 05-2010 aufgebaut. Irgendwann 2012 oder 2013 waren die 
SD-Slots nicht mehr verfügbar. Die derzeit eingesetzte Variante sollte 
es hoffentlich noch viele Jahre geben.

von Wolfram K. (proof80)


Lesenswert?

Joe G. schrieb:

> Ich würde eigentlich hier in diesem Thread bleiben wollen. Die
> Beschaffung verläuft sich auch wieder.

Ok, ich gebe mich geschlagen. Und gleich einen Dank an Leo und Joe für 
die hilfreichen Ausführungen. Meine Fragen reißen noch nicht ab ...

Zum Aufbau des Z180-Stamp:
Der 3,3V-Regler hat eine Kühlfläche. Wie bringt man das Teil auf die 
Platine? Reicht Anlöten an den Anschlüssen und Anlegen der Kühlfläche 
(ev. mit Wärmeleitpaste) oder soll man versuchen, die Kühlfläche auch 
anzulöten? Wenn ja, wie?

von m.n. (Gast)


Lesenswert?

Joe G. schrieb:
> Die derzeit eingesetzte Variante sollte
> es hoffentlich noch viele Jahre geben.

Darum hatte ich ja auch noch Segor ins Spiel gebracht, wobei man 
natürlich auch noch die Datenblätter der anderen Anbieter auf 
Kompatibilität vergleichen könnte.

Bei der Relation ca. € 5,-- zu € 0,75 bin zumindest ich schwer ins 
Grübeln gekommen und habe gnadenlos zugeschlagen :-)

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Wolfram K. schrieb:
> Der 3,3V-Regler hat eine Kühlfläche. Wie bringt man das Teil auf die
> Platine? Reicht Anlöten an den Anschlüssen und Anlegen der Kühlfläche
> (ev. mit Wärmeleitpaste) oder soll man versuchen, die Kühlfläche auch
> anzulöten? Wenn ja, wie?

Mit Wärmeleitpaste kannst Du da nicht viel ausrichten. Die obere Kante 
des Reglers an die Flasche anlöten reicht zur Wärmeübertragung völlig 
aus. Ein Bild von Joe gibts hier: 
Beitrag "Re: Retro Fieber: Z80 oder 68000 ?"

Der Regler kann max. 100 mA. (Mit mehr brauchen wir also nicht zu 
rechnen ;) Wenn wir von einer maximalen Eingangsspannung von 5,5V 
ausgehen --> 2,2V Differenz.

Also maximal abzuführende Leistung: 220mW

Wenn wir eine ganz schlechte Lötstelle haben, können wir mit dem 
Wärmewiderstand ohne Kühlkörper (RthJ = 92 K/W) rechnen.

dT = 220mW * 92K/W = 20,24K

Also ca. 20° Temperaturerhöhung worst case.


Bei mir messe ich gerade 46mA. Allerdings ohne SD-Karten. Und die ein 
oder andere Led oder Schnittstellen werden ja auch noch dazu kommen.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Im Anhang ist der
> AVR Bootloader FastBoot von Peter Dannegger/Tutorial ATtiny13,
> passend konfiguriert für die AVR-Stamp.

Danke! Läuft sauber und macht mehr Spaß als ISP an und ab stecken. Nun 
schreib ich gleich mal Doku.

: Bearbeitet durch User
von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

>> AVR Bootloader FastBoot von Peter Dannegger/Tutorial ATtiny13,
>> passend konfiguriert für die AVR-Stamp.

Die Anpassungen sind minimal. Wirklich notwendig ist nur die Definition 
der beiden Pins für RX und TX. Im angehängten Diff ist zusätzlich noch 
ein Makefile.

> Nun schreib ich gleich mal Doku.

Danke

von Leo C. (rapid)


Lesenswert?

Bestellung bei Electrogdragon

Wer noch Stift- und/oder Buchsenleisten oder Batteriehalter braucht kann 
sich noch melden(PM). Von Siggi und Marcel habe ich noch keine E-Mail 
und keine Postadresse. Ohne werde ich nicht bestellen. Bis Montag warte 
ich noch.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Eine überarbeitete Doku steht im SVN. Es gibt speziell Infos zum 
Bootloader und zur Host Software. Weiterhin ist bei der Inbetriebnahme 
der Stapelvariante die unterschiedliche Belegung der Pins A12 bis A26 zu 
beachten. Bei der Stapelvariante sind diese Pins NICHT zu verbinden! 
Näheres auch in der Doku im Anhang.

Feierabend, noch einen schönen Sonntag

von Leo C. (rapid)


Lesenswert?

Leo C. schrieb:
> Frage: Wie herum baut man die Leisten am Besten ein? Stifte oben,
> Buchsen unten, oder umgekehrt?

Die Frage ist oben noch unbeantwortet geblieben.
Ich tendiere dazu, die Buchsen unter die Platinen zu machen, und die 
Stifte oben heraus stehen zu lassen. Man kann die Platinen dann auch mal 
ohne Grundplatte auf den Tisch stellen, und oben kann man leicht Clips 
zum Messen anklemmen. (Auf der obersten Platine könnte man die Stifte 
sogar abschneiden, wenn man sicher ist, daß man nichts mehr draufstecken 
will.)

Auf die Grundplatte kämen dann normale Stiftleisten. z.B. diese hier:
http://www.electrodragon.com/product/break-away-header/ [male]

Wenn man ein Steckbrett drunter heften will, sollten es diese sein:
http://www.electrodragon.com/product/same-length-pin-header-2-54mm/

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Die Frage ist oben noch unbeantwortet geblieben.

Bei meiner Variante sind die Buchsen unten und die Stifte schauen oben 
raus. Genau aus dem Grund, den du auch angeführt hast. Der Stapel sthet 
auch ohne Grundplatte auf dem Tisch, zu Messen kann oben an die Stifte 
ein Oszi angeklemmt werden, auch Erweiterungen am AVR können oben 
aufgesteckt werden. Auf die Trägerplatine kommen zukünftig einfache 
Stiftleisten.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Zum Bootloader

(Ergänzung zu Jörgs Beschreibung in der Doku.)
Ein gutes Upload-Programm für Linux und andere Posix-Systeme gibt es 
hier:
https://github.com/Boregard/FBoot-Linux

Leider blicke ich bei den Kommandozeilenversionen (CLI) für Windows 
nicht durch. Peter Dannegers Original läuft wohl nicht auf 64-Bit 
Systemen und evtl. auch nicht auf den neuesten 32-Bit Windows-Versionen.

CLI-Programme sind von Vorteil, wenn man einen guten Terminalemulator 
benutzt (Hyperterm ist es wohl nicht). Man kann den Uploader wie 
(andere) Datentransfer-Programme aus dem Terminal-Emulator starten, ohne 
ihn zu verlassen. Bei einigen Terminalemulatoren kann man den Bootloader 
ins Menü integrieren und mit einem Tastendruck starten. Beispiel für die 
Config von Minicom im Anhang.

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Meine Kommandozeilenversionen läuft nicht unter Windows 64-Bit und 
32-Bit
Außerdem wird nur Com1-Com4 unterstützt. In der Doku sind die beiden 
Programme AVRFlash [1] und Updateloader [2] beschrieben. Beide laufen 
unter den obigen Windows Systemen. Die Version 8.1 probiere ich noch 
aus. Allerdings lassen sie sich nicht in das Terminal (Tera Tem VT) 
einbinden.

[1] 
http://www.mikrocontroller.net/articles/AVR_Bootloader_FastBoot_von_Peter_Dannegger
/Tutorial_ATtiny13

[2] 
http://www.leo-andres.de/2012/09/updateloader-benutzeroberflache-fur-avr-bootloader/

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Das scheint mir genau unsere Variante desSD Card Connectors zu sein.
20 Stück für 5$
http://www.aliexpress.com/item/20PCS-TF-Micro-SD-Card-Connector-Memory-Card-Socket-holder-flip-type/1923989325.html

von Leo C. (rapid)


Lesenswert?

Joe G. schrieb:
> Das scheint mir genau unsere Variante desSD Card Connectors zu sein.
> 20 Stück für 5$
> 
http://www.aliexpress.com/item/20PCS-TF-Micro-SD-Card-Connector-Memory-Card-Socket-holder-flip-type/1923989325.html

Wenn Du das sagst, wirds sehr wahrscheinlich stimmen. Du kannt ja 
vergleichen.
Wie hast Du die überhaupt gefunden?


Price:
    € 3,91 / lot
    20 pieces / lot , € 0,20 / piece
Shipping:
    Free Shippingto Germany via China Post Air Mail
    Estimated Delivery Time: 15-34 days (ships out within 9 business 
days)


Willt Du bestellen, oder soll ich? Meine andere Bestellung wird 
wahrscheinlich viel früher da sein, aber wenn jemand Geduld hat und 
Porto sparen will, könnte ich die Halter zusammen mit den anderen Teilen 
verschicken

: Bearbeitet durch User
von Harald (Gast)


Lesenswert?

Ich wäre dabei...

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Hier mal das Original, Pinanzahl und Lage stimmen überein.

Leo C. schrieb:
> Wie hast Du die überhaupt gefunden?
Zufall

Ich bestell mal, Briefporto ist ja nicht sooo teuer. Ich kann dann auch 
gleich überprüfen ob sie wirklich 100% passen. Bei 3,91 kann ich nicht 
viel falsch machen.

von Leo C. (rapid)


Lesenswert?

> Ich wäre dabei...

Bei dem Preis kann ja fast jeder selber bestellen. Aber was macht man 
dann mit die restlichen 19 Stück? Außerdem muß man evtl. extra ein 
Konnto bei Alibaba/Aliexpress anlegen, wenn man noch nicht hat.


Nachtrag:
> Ich kann dann auch gleich überprüfen ob sie wirklich 100% passen.

Gute Idee

: Bearbeitet durch User
von Harald (Gast)


Lesenswert?

Leo C. schrieb:
> Bei dem Preis kann ja fast jeder selber bestellen. Aber was macht man
> dann mit die restlichen 19 Stück?

Genau das ist das Problem....

von siggim (Gast)


Lesenswert?

ich melde auch mal meinen Bedarf von 4 Stück SD-Card-Connectoren an.

Gruß  Siggi

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

siggim schrieb:
> ich melde auch mal meinen Bedarf von 4 Stück SD-Card-Connectoren an.

Ich habe gestern schon bestellt.
Harald 1
Siggi 4

von Harald (Gast)


Lesenswert?

Wenn's ums Loswerden geht nehme ich dir auch 2-3 ab. Wirst ja sehen wer 
sich noch meldet!

von Leo C. (rapid)


Lesenswert?

Wenn er passt, nehme ich auch einen. :)

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Frage Basisplatine

Die Basisplatine soll ja zwei echte RS232 Schnittstellen erhalten. Damit 
ergibt sich die Frage nach den Buchsen und ihrer Belegung.

1. Möglichkeit (echtes Endgerät)
Das CP/M System ist ein echtes Endgerät und bekommt 2x eine 9-polige 
SUB-D Buchse (male). Mit Pin 3 = TxD und Pin 2 = RxD. Damit würde ein 
VT100 Terminal z.B. über ein normales Nullmodem Kabel angeschlossen 
werden.
Vorteil: (echte Retro-Beschaltung)
Nachteil: RS232toUSB-Wandler nicht nutzbar (selber male).

2. Möglichkeit (Modem)
Das CP/M System ist wie ein Modem beschaltet und bekommt 2x eine 
9-polige SUB-D Buchse (female). Mit Pin 2 = TxD und Pin 3 = RxD. Damit 
würde ein VT100 Terminal z.B. über ein Modem-Kabel angeschlossen werden.
Vorteil: RS232toUSB-Wandler nutzbar (male).
Nachteil: (nicht Retro-Konform)

3. Möglichkeit (Mischbelegung)
VT100 bekommt 1x 9-polige SUB-D Buchse (male), mit TxD=3 und RxD=2
RS232 bekommt 1x 9-polige SUB-D Buchse (female), mit TxD=2 und RxD=3

DCD,DTR,DSR usw. natürlich analog

Was meint ihr? Vorschläge?

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Also DB9 ist weder echt Retro noch standardkonform. DB9 hat IBM mit 
ihrem PC durchgesetzt, und gibt es weder in RS232 noch in V24. Wenn 
schon, dann mußt Du D-SUB 25 nehmen. ;)

Eines hat IBM aber richtig gemacht. Da der PC kein Modem ist, sondern 
nach RS232 ein Endgerät (DTE, Data Terminal Equipment), haben sie den 
Stecker mit Stiften genommen. Andere nahmen (odere tun es immer noch) 
Buchsen für ihre Nicht-Modem-Geräte.

> Die Basisplatine soll ja zwei echte RS232 Schnittstellen erhalten. Damit
> ergibt sich die Frage nach den Buchsen und ihrer Belegung.

Du willst die D-Sub Stecker also direkt auf die Platine montieren.
Eine Alternative wäre, 10-polige Pfosten/Wannen auf die Platine zu 
setzen. D-Sub dann über Flachbandkabel. War in PC's mal üblich, und von 
diesen Kabeln habe ich noch ein paar. 2 sind auf dem Foto.


> 1. Möglichkeit (echtes Endgerät)
> Das CP/M System ist ein echtes Endgerät und bekommt 2x eine 9-polige

Natürlich ist es ein echtes DTE.

> SUB-D Buchse (male). Mit Pin 3 = TxD und Pin 2 = RxD. Damit würde ein
> VT100 Terminal z.B. über ein normales Nullmodem Kabel angeschlossen
> werden.

Das VT100 hat einen 25-poligen D-Sub Stecker (male).

Du hast andere Nullmodemkabel als ich. ;)  Unter Nullmodem verstehe ich 
ein Gerät/Kabel, daß an beiden Enden wie ein Modem aussieht, also Buchse 
mit DCE-Belegung. An beide Enden kann man direkt ein DTE, also Stecker 
(männlich) mit DTE-Belegung, anschließen.

> Vorteil: (echte Retro-Beschaltung)
> Nachteil: RS232toUSB-Wandler nicht nutzbar (selber male).

Diese USB-Wandler sind ja ein Ersatz für die RS232 am PC, also DTEs.

> 2. Möglichkeit (Modem)
> Das CP/M System ist wie ein Modem beschaltet und bekommt 2x eine
> 9-polige SUB-D Buchse (female). Mit Pin 2 = TxD und Pin 3 = RxD. Damit
> würde ein VT100 Terminal z.B. über ein Modem-Kabel angeschlossen werden.
> Vorteil: RS232toUSB-Wandler nutzbar (männlich).
> Nachteil: (nicht Retro-Konform)
>
> 3. Möglichkeit (Mischbelegung)
> VT100 bekommt 1x 9-polige SUB-D Buchse (male), mit TxD=3 und RxD=2
> RS232 bekommt 1x 9-polige SUB-D Buchse (female), mit TxD=2 und RxD=3
>
> DCD,DTR,DSR usw. natürlich analog

> Was meint ihr? Vorschläge?

Meine Meinung dürfte klar geworden sein. Ich kann mich aber auch mit 
Kompromissen anfreunden, wenn sie das Leben einfacher machen. Und egal, 
wie Du Dich entscheidest, ich habe den passenden Adapter. Im Bild ist 
eine Auswahl zu sehen.

Wie auch immer, ich würde die 2. Serielle (ASCI1) für das Terminal 
nehmen, und ASCI0 als "Univarsalschnittstelle" für Modem, Printer, 
Kommunikation zu anderen Rechnern...

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Danke für die Ausführungen,
> Also DB9 ist weder echt Retro noch standardkonform.
Stimmt schon, ist ja erst mit IBM groß geworden. (also Pseudo-Retro);-)

> Unter Nullmodem verstehe ich
> ein Gerät/Kabel, daß an beiden Enden wie ein Modem aussieht, also Buchse
> mit DCE-Belegung. An beide Enden kann man direkt ein DTE, also Stecker
> (männlich) mit DTE-Belegung, anschließen.

Genau so dachte ich. Das VT100 ist ein echtes DTE, das CP/M auch, 
dazwischen das Nullmodem mit Buchse-Buchse am Ende und RxD/TxD gekreuzt. 
So liegen die Kabel bei mir rum. Das VT100 bekommt natürlich ein DB9 
(male) - also Pseudo-Retro.

> Eine Alternative wäre, 10-polige Pfosten/Wannen auf die Platine zu
> setzen. D-Sub dann über Flachbandkabel.

So habe ich es auch vor. Die Kabel habe ich schon gecrimpt. Wer 
Voll-Retro möchte, kann ka ein echten DB25 anschließen.

> Wie auch immer, ich würde die 2. Serielle (ASCI1) für das Terminal
> nehmen, und ASCI0 als "Univarsalschnittstelle" für Modem, Printer,
> Kommunikation zu anderen Rechnern...

Auch so ist es geplant.

von Leo C. (rapid)


Lesenswert?

> Genau so dachte ich. Das VT100 ist ein echtes DTE, das CP/M auch,
> dazwischen das Nullmodem mit Buchse-Buchse am Ende und RxD/TxD gekreuzt.

Das heißt doch, wenn man die CP/M-Kiste statt ans VT100, über einen 
USB-Serial-Adapter an den PC anschließen will, geht das ganz genau so.
Und VT100 an PC ist natürlich auch gleich. Also überhaupt kein Problem.
Achso, wahrscheinlich meinst Du, im 2. Fall kann man sich das 
(Null-Modem-) Kabel sparen, weil an dem USB-Adapter ja schon einer dran 
ist. Wie man an dem Adapter in meinem Bild sieht, stimmt das aber 
sowieso nicht immer.

> So habe ich es auch vor. Die Kabel habe ich schon gecrimpt. Wer
> Voll-Retro möchte, kann ka ein echten DB25 anschließen.

Erst dachte ich, dann ist das sowieso kein Problem. Man quetscht oder 
lötet einfach einen anderen Stecker ans Flachbandkabel. Aber man muß ja 
dann auch Adern tauschen.

> Auch so ist es geplant.

Dann bin ich ja beruhigt. :)

von Marcel A. (dl1ekm)


Lesenswert?

zur Abnahme SD-Reader: Wie immer - ich auch :-)

: Bearbeitet durch User
von Marcel A. (dl1ekm)


Lesenswert?

Leo C. schrieb:

>
>> Auch so ist es geplant.
>
> Dann bin ich ja beruhigt. :)

Jetzt raucht mir der Kopf - aber bei meinen Basteleien bin ich da auch 
immer durcheinander gekommen und habe immer erst mal gemessen bzw. neu 
verdrahtet.
Habe gerade aktuelle eine Apple IIe serielle Karte (SSC) an einen 
Raspi-USB-Wandler angeschlossen - die Karte kann man intern von Terminal 
auf Modem umschalten. Aber das "Nullmodemkabel" ging dann trotz 
passender Stecker nicht...

von Harald (Gast)


Lesenswert?

Zu den Schnittstellen. Ich würde auch sagen Pfostenleisten wären am 
besten. Da kann sich jeder mittels Flachbandkabel draufstecken was er 
braucht.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Harald schrieb:
> Ich würde auch sagen Pfostenleisten wären am
> besten.

Der Trick liegt darin, das Kabel ohne aufzuspleißen oder zu drehen an 
den Pfostenstecker zu bringen. Ich entwerfe gerde einige Lösungen.

von Leo C. (rapid)


Lesenswert?

Man glaubt es kaum. Die 8-poligen Buchsenleisten vom Electrodragon [1] 
sind nicht ohne weiteres anreihbar. Der Kunststoffkörper ist etwas zu 
lang. :-(

Man kann/muß sie mit Feile oder Schleifpapier bearbeiten, falls man 
nicht zufällig eine Scheifmaschine hat.


[1] Die hier:
Beitrag "Re: Z180-Stamp Modul"

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Der Kunststoffkörper ist etwas zu lang. :-(

Ist nicht schlimm, war bei mir auch so:
Beitrag "Re: Z180-Stamp Modul"

Übrigens: Vielen Dank für das Päckchen! Es kam gerade an.

von Leo C. (rapid)


Lesenswert?

> Ist nicht schlimm,

Ich war so sauer, daß ich die Enden teilweise bis aufs blanke Metall 
abgefeilt hatte. :) Aber jetzt gehts wieder.

> war bei mir auch so:

Hier ist es allerdings die doppelte Menge, und die Hälfte davon muß man 
auf beiden Seiten kürzen.
Deinen Artikel hatte ich gelesen, aber an den Absatz kann ich mich 
überhaupt nicht erinnern.

von Harald (Gast)


Lesenswert?

Hallo!

Gibt's Neuigkeiten bzgl der SD-Karten-Halter?

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Nein, noch nichts. Kommt ja aus China und dauert halt etwas.

: Bearbeitet durch User
von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Hier ist mal ein kleines Update meines Testprogramms.
Den Befehl 'clock' habe ich durch einen allgemeineren Befehl 'pin' 
ersetzt. Man kann damit die AVR-Portpins, die noch keine feste Funktion 
haben, als konfigurieren und den Pegel setzen. Bei Ports mit 
Timerausgang kann man die Frequenz einstellen. Von den 5 Timerkanälen 
können maximal 3 gleichzeitig aktiv sein, da pro Timer immer nur ein 
Kanal eine Frequenz erzeugen kann.

Der Einfachheit halber sind die Pins von 0 bis 10 durchnumeriert. Man 
könnte sie aber auch nach der Pin-Nummer auf der Steckerleiste benennen. 
Außerdem könnte man den Pins über eine Environmentvariable Namen 
zuordnen.

1
Pin     Name    Port    Timer   Mode    max div         max div         min f [Hz]
2
----------------------------------------------------------------------------------
3
0               PG5     OC0B    PWM     (2**8)*1024       262144        70.31
4
1               PG4
5
2       CLK2    PB4     OC2A    Toggle  (2**8)*1024*2     524288        35.16
6
3       ZCLK    PB5     OC1A    PWM     (2**16)*1024    67108864        0.2746
7
4               PB6     OC1B    PWM     (2**16)*1024    67108864        0.2746
8
5               PB7     OC0A    Toggle  (2**8)*1024*2     524288        35.16
9
6               PG3
10
7               PG2
11
8               PG1
12
9               PG0
13
10      CLKO    PE7
14
----------------------------------------------------------------------------------


"Screenshoot":
1
ATMEGA1281+Z8S180 Stamp Monitor
2
3
### main_loop entered: bootdelay=-1
4
5
### main_loop: bootcmd="pin ${pins}; reset; loadf; go ${startaddr}"
6
=> pin
7
Pin  Config  Level  Divider  Frequency/Hz
8
-----------------------------------------
9
  0  Input   Low   
10
  1  Input   Low   
11
  2  Input   High  
12
  3  Input   High  
13
  4  Input   Low   
14
  5  Input   Low   
15
  6  Input   Low   
16
  7  Input   Low   
17
  8  Input   Low   
18
  9  Input   Low   
19
 10  Input   High  
20
=> pin 2 Low 3 9MHz
21
=> pin 2,3
22
Pin  Config  Level  Divider  Frequency/Hz
23
-----------------------------------------
24
  2  Output  Low   
25
  3  Clock               2    9216000
26
=>

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Hier ist mal ein kleines Update meines Testprogramms.

Super, läuft!

von Marcel A. (dl1ekm)


Lesenswert?

Ich glaube, langsam werde ich mit dem Aufbau mal beginnen müssen :-)

von Harald N. (haraldn)


Lesenswert?

Marcel A. schrieb:
> Ich glaube, langsam werde ich mit dem Aufbau mal beginnen müssen :-)

Ich hab heute losgelegt. Und gleich mal eine Frage...
Also der Aufbau der Boards verlief problemlos (unerwartet). Die 
Pinheader und der SD-Slot fehlen noch, sonst fertig.
Allerdings ist mir aufgefallen, dass ATMEL Studio die Programmierung des 
1281 via STK500-kompatiblen ISP-Programmer nicht mehr unterstützt... In 
AVR Studio 4 gehts anscheinend; zumindest hat sich der Chips auslesen 
lassen... das Programmieren muss ich morgen mal probieren

Edit: Wollte gerade die Fuses setzen (Studio 4.2) aber es kommt nur eine 
Fehlermeldung...

: Bearbeitet durch User
von Harald N. (haraldn)


Lesenswert?

Edit: wieder was gelernt. In Studio 6.2 device eingeben, 'unsupported' 
ignorieren, fuses setzen und programmieren.
Läuft alles rund..

von Christian J. (Gast)


Angehängte Dateien:

Lesenswert?

Könnte mal jemand sagen wie man diese Anzeigen nennt und wo es sie gibt? 
Datenblatt? Das suche ich auch noch.... sehr Retro :-)

von Leo C. (rapid)


Lesenswert?

Christian J. schrieb:
> Könnte mal jemand sagen wie man diese Anzeigen nennt und wo es sie gibt?
> Datenblatt? Das suche ich auch noch.... sehr Retro :-)

Deinen "eigenen" Thread liest Du nicht? Dort steht schon lange, wie die 
Dinger heißen (TIL311), und ein Bild, auf dem man auch den 
Stromverbrauch sieht, ist da auch. Und der Stromverbrauch, über den Du 
bei den NMOS-Chips ja so gern jammerst, ist aber so was von Retro...:
Beitrag "Re: Retro Fieber: Z80 oder 68000 ?"

Bei Ebay findet man die Displays zahlreich, aber selten billig. Es gibt 
(oder gab) ähnliche von HP.

von Harald N. (haraldn)


Lesenswert?

Hi! Ich konnte es nicht abwarten und hab den sd Halter bei distrelec 
besorgt. Nun eine vlt blöde Frage, aber wie löte ich die pins? Kann man 
die Abdeckung abnehmen ohne dass man was zerstört. Oder gibt's eine 
eigene Technik?

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Harald Nagy schrieb:
> Kann man
> die Abdeckung abnehmen ohne dass man was zerstört.

Ja :-)

Auf der Oberseite ist ein kleiner Pfeil. Den Deckel in diese Richtung 
schieben, dann Deckel einfach aufklappen. Nun kann prima gelötet werden.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Harald schrieb:
> Gibt's Neuigkeiten bzgl der SD-Karten-Halter?

Da die Ware bei mir eintraf und der Käuferschutz in wenigen Tagen 
ausläuft, habe ich die Zahlung rückabgewickelt. Die Halter sind 
immernoch im Shop erhältlich, angeblich mit 100% Zufriedenheit.
Nochmals bestellen?

http://www.aliexpress.com/item/20PCS-TF-Micro-SD-Card-Connector-Memory-Card-Socket-holder-flip-type/1923989325.html

: Bearbeitet durch User
von Harald N. (haraldn)


Lesenswert?

Also für mich hat es sich erledigt, wie oben schon oben geschrieben...

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Joe G. schrieb:
> Da die Ware bei mir eintraf

sollte natürlich NICHT eintraf heißen :-(

von Leo C. (rapid)


Lesenswert?

> 
http://www.aliexpress.com/item/20PCS-TF-Micro-SD-Card-Connector-Memory-Card-Socket-holder-flip-type/1923989325.html

Was heißt denn "No Feedback Score"?
Gibts bei Nicht-Bewertung automatisch die Bestbewertung? Witzig.

> Nochmals bestellen?

Weiterhin "low priority" Interesse.

von Siggi M. (siggim)


Lesenswert?

Hallo Joe,

ich wäre für nochmals bestellen. Was wäre denn die Alternative?

Gruß  Siggi

von Leo C. (rapid)


Lesenswert?

> Was wäre denn die Alternative?

(Mikro-)SD-Kartensockel über die Stiftleisten anschließen (Grundplatte). 
Ist ja sowieso für die Zweitkarte geplant.
Der Sockel auf meiner Lochraster-Grundplatte ist aber auch immer noch 
nicht verdrahtet. Dabei habe die inzwischen von Turm auf Nebeneinander 
umgestrickt.

von Marcel A. (dl1ekm)


Lesenswert?


von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Marcel A. schrieb:
> Nur mal eine Frage - kanntet ihr das?

Danke!

Da werden sich aber Hans-Werner und auch Heinrich freuen, dass ihre 
Internetseiten hier verlinkt werden :-)

von Hans -Werner (Gast)


Lesenswert?

Hallo,
ich denke das meine Seite hinreichend bekannt ist...
Gruß
Hans-Werner

von Marcel A. (dl1ekm)


Lesenswert?

Oh - ich war so begeistert... Habe ich was falsch gemacht?

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Marcel A. schrieb:
> Oh - ich war so begeistert... Habe ich was falsch gemacht?

Nein, alles OK! Meine Freude war ehrlich gemeint. Beide beschäftigen 
sich in bewundernswerter Weise mit dieser Technik.

von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Mein Monitor-Programm kann inzwischen SD-Karten lesen und schreiben. Die 
BIOS-Schnittstelle, damit der Z180 darauf zugreifen kann, fehlt 
allerdings immer noch. Aber da ich dieses Jahr sicher nicht mehr dazu 
kommen werde, stelle ich den aktuellen Stand mal hier rein.

Das Programm ist jetzt für 2 Kartensockel konfiguriert. Sockel 0 ist auf 
der AVR-Stamp-Karte.

Für Sockel 1 habe ich bis auf weiteres PG4 für CS und Kartenerkennung 
vorgesehen. Für CD- und WP-Switches könnten PG3 und PG5, aber natürlich 
auch andere freie Ports genutzt werden.

Darauf, daß man den CS/DAT3-Pin der SD-Karte auch zur Kartenerkennung 
verwenden kann, bin ich erst vor kurzem gekommen, und habe es gleich mal 
in das Programm eingebaut. Damit der Pin in dieser Weise funktioniert, 
muß ein Pulldown-Widerstand, ca. 300K oder größer, angeschlossen sein. 
Da an dem Pin auf der AVR-Stamp "leider" die LED nach VCC hängt, 
funktioniert es dort nicht.

Falls jemand den MicroSD-Sockel bestückt hat, würde es mich freuen, wenn 
er die Kartenfunktionen mal testen könnte. Insbesondere würde mich 
interressieren, ob die Kartenerkennung an dem Sockel funktioniert. Dazu 
müßte allerdings die LED vom CS-Pin getrennt werden.

=> sd status 0
Socket status: 01

Mit:
1
/* Disk Status Bits (DSTATUS) */
2
#define STA_NOINIT    0x01  /* Drive not initialized */
3
#define STA_NODISK    0x02  /* No medium in the drive */
4
#define STA_PROTECT   0x04  /* Write protected */
5
#define STA_FAST      0x08  /* Fast SPI clock */

von Harald N. (haraldn)


Lesenswert?

Sehr cool! Vlt komm ich heuer noch zum testen. Kannst du evtl den 
Quellcode auch hochladen.
Schönes Fest und guten Rutsch!

von Leo C. (rapid)


Lesenswert?

Der Quellcode[1,2] ist immer noch Kraut und Rüben.
Zur Zeit nehme ich Tup[3] als Build system (statt Make)
Tup hat ggü. Make einige Vorteile, aber:
-  Läuft auf ungewöhnlichen OS' nicht so gut.
-  Auf 64-bit Windows läufts wohl immer noch nicht.
-  Der CP/M-Emulator (für M80) läuft nur mit einem Patch, den der Autor
   wahrscheinlich nicht aktzeptieren wird. In die Windows-Version
   bekomme ich den Patch garnicht rein.

Inzwischen glaube ich nicht mehr, das man den Build in absehbarer Zeit 
mit Tup unter Windows vernünftig zum Laufen bekommt. Also müßte mal 
jemand Makefiles schreiben.

[1] http://cloudbase.mooo.com/gitweb/?p=z180-stamp.git
[2] http://cloudbase.mooo.com/cgit/z180-stamp/
[3] http://gittup.org/tup/index.html

von Manfred (Gast)


Lesenswert?

Joe G. schrieb:
> Marcel A. schrieb:
>> Oh - ich war so begeistert... Habe ich was falsch gemacht?
>
> Nein, alles OK! Meine Freude war ehrlich gemeint. Beide beschäftigen
> sich in bewundernswerter Weise mit dieser Technik.

Ja, mir wird auch ganz warm ums Herz. Das waren noch Zeiten!
Z80-CP/M-System mit Faedeldraht auf Lochraster von Hand zusammengebaut. 
Auf freie "Rechenzeit" am Eprommer im Rechenzentrum gewartet, 
Kilobyteweise Hexcode eingetippt ... nebenan ratterten die Lochkarten 
und klackerten die Magnetbaender ... boah bin ich alt.
Aber damals waren wir noch jung und schoen ...

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> Falls jemand den MicroSD-Sockel bestückt hat, würde es mich freuen, wenn
> er die Kartenfunktionen mal testen könnte.

Habe gerade getestet.
1. LED 1 auslöten und durch 330k (1206) ersetzt.
2. R2 auslöten
3. R2/LED1 pin auf GND gelegt (am Reset Taster)

vor dem Umbau:
sd status 0
Socket status: 01

nach dem Umbau (Scan Disk 1GB)
sd status 0
Socket status: 03  (???)

sd info 0
No disk

sd init 0
No disk

von Leo C. (rapid)


Lesenswert?

Danke für die Mühe.

Joe G. schrieb:
> nach dem Umbau (Scan Disk 1GB)
> sd status 0
> Socket status: 03  (???)

Ohne Karte wäre der Status ok.

Leo C. schrieb:
> #define STA_NOINIT    0x01  /* Drive not initialized */
> #define STA_NODISK    0x02  /* No medium in the drive */

2 Möglichkeiten. 1. Die Karte hat keinen Pullup an dem Pin 
(unwahrscheinlich). 2. Softwarefehler.
Der Zweitsockel funktioniert bei mir. Der Erstsockel sollte eigentlich 
gleich funktionieren. Ich werde mal nachschauen. Dauert aber, da ich 
gerade nicht viel Zeit habe.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Leo C. schrieb:
> muß ein Pulldown-Widerstand...
> 1. Die Karte hat keinen Pullup an dem Pin

up oder down?

Ich habe gerade nochmals in der Beschaltung nachgesehen, soll wohl ein 
Pullup sein. Ich löte mal um...

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Sehr merkwürdig...

mit und ohne eingelegter SD-Card

sd status 0
Socket status: 01

sd status 1
Socket status 03

sd info 0
Not initialized

sd info 1
No disk

von Leo C. (rapid)


Lesenswert?

Up.
SD-Karten haben am DAT3/CS-Pin einen Pullup[1]. Damit die Leitung ohne 
Karte nicht in der Luft hängt, braucht man in der Schaltung einen 
Pulldown x-facher Größe.

[1] Fußnote 3 auf Seite 3-1 in [2]:
After power up, this line is input with 50Kohm(+/-20Kohm) pull-up (can 
be used for card detection or SPI mode selection). The pull-up may be 
disconnected by the user, during regular data transfer, with 
SET_CLR_CARD_DETECT (ACMD42) command.

[2] 
http://dlnmh9ip6v2uc.cloudfront.net/datasheets/Components/General/SDSpec.pdf

Nachtrag:
Ist meine E-Mail angekommen?

: Bearbeitet durch User
von Leo C. (rapid)


Angehängte Dateien:

Lesenswert?

Dank Joe funktioniert jetzt auch der Micro-SD-Sockel auf der 
AVR-Stamp-Karte. In der letzten Programmversion war noch ein Fehler, der 
nicht nur die Kartenerkennung verhinderte, es fehlte die Steuerung des 
CS-Signals.
Mit der angehängten Version gehts jetzt.

Noch ein Hinweis:
Joe war so freundlich, die LED aus- und einen Pulldwown-Widerstand 
einzubauen, um die Kartenerkennung zu testen. Der Umbau ist aber nicht 
unbedingt notwendig. Mit eingebauter LED kann nur das Fehlen der 
SD-Karte nicht erkannt werden. Man bekommt dann andere Fehlermeldungen 
beim Zugriff.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Die RTC Funktion sieht auch fehlerfrei aus :-)
Danke!

=> date
Mon Dec 22 10:26:44 2014

von Joe G. (feinmechaniker) Benutzerseite


Angehängte Dateien:

Lesenswert?

Passend zu Leo's verbessertem Monitor eine überarbeitete Version der 
Doku. Mit ihr sollte RTC und SD-Card bzw. FAT-Filesystem zu testen sein.

von Leo C. (rapid)


Lesenswert?

Super Doku, Joe! Danke.

Als ich die SD-Karten Statusanzeige gebaut hatte, fiel mir schon auf, 
das der Statuscode 08 (Fast SPI clock) für den Benutzer eher verwirrend 
als nützlich ist. Ich werde daß Programm ändern, damit er bei der 
Statusabfrage ausgefiltert wird. Dann muß er auch nicht mehr 
dokumentiert werden.

Sonst noch:
Im Unterkapitel Boot Size Configuration, erster Satz, ist ein Wort zu 
viel.
Im Unterkapitel Host Software könnte man noch einen Link zu einem Linux 
und OS X Programm aufnehmen:
https://github.com/Boregard/FBoot-Linux


Das Dokument, daß unter [4] verlinkt ist, ist von SanDisk und heißt 
"SanDisk SD Card Product Manual, Version 2.2" Leider ist es auf der 
SanDisk Website nicht zu finden. Es wäre schön, wenn jemand dafür einen 
besseren Link finden würde.

Falls sich jemand noch mehr für die Hardware-Details der 
SD-Karten-Schnittstelle interessiert: Es gibt eine tolle Application 
note von NXP:
AN10911 - SD(HC)-memory card and MMC interface conditioning

Link gerade nicht parat, sollte aber leicht zu finden sein.

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Danke Leo, die Änderungen sind eingearbeitet.

Eine gute Doku zur SD-Card ist auch hier zu finden.
https://www.sdcard.org/downloads/pls/simplified_specs/

Der aktuelle Arbeiststand der Doku wie immer hier:
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/manual/

Leos letzter Arbeiststand hier:
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/avr_stamp/Testtools/

von Harald N. (haraldn)


Lesenswert?

So, alles fertig gelötet und provisorischen Kabelsalataufbau 
zusammengestöpselt (werd mir wohl eine Basisplatine löten).
Danke Leo, die on-board SD-Karte funktioniert einwandfrei! Wichtig nur, 
den Doppelpunkt nach dem 0 nicht zu vergessen.....
Eine Frage: wie kann ich prüfen, ob das Z180-Board wirklich läuft?

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Harald Nagy schrieb:
> Eine Frage: wie kann ich prüfen, ob das Z180-Board wirklich läuft?

Zunächst kannst du ja mit dem Monitor einzelne Z180 Befehle in den RAM 
schreiben und auch ausführen. Außerdem wird bei Start des Monitors Leo's 
DDT in den RAM geladen und kann über die serielle Schnittstelle des Z180 
bedient werden. Dazu muß sie zusätzlich auch verdrahtet werden.
Beitrag "Re: Z180-Stamp Modul"

von Harald N. (haraldn)


Lesenswert?

Ah danke! Den Beitrag muss ich anscheinend überlesen haben. Ich dachte, 
die Z180-Outputs werden zum AVR durchgeschleift... Wenn ich jetzt 
darüber nachdenke wirds logisch....

von Harald N. (haraldn)


Lesenswert?

Ok, soweit so gut. Leider funktioniert meine Z180-Stamp nicht... Beim 
Versuch den Speicher manuell zu beschreiben erhalte ich die 
Fehlermeldung "bus timeout". Ich vermute und befürchte mal, dass 
bedeutet Lötstellencheck...

von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Hast du beachtet, dass nicht alle Leitungen zwischen AVR-Stamp und 
Z180-Stamp 1:1 verbunden sein dürfen (siehe Doku Anhang A).

von Harald N. (haraldn)


Lesenswert?

Ich habe alle Leitungen, die unter "all" zusammengefasst sind, 
zusammengeschlossen.

Weiterhin habe ich mit und ohne CLKO versucht sowie den Jumper am Z180 
Board in beiden Stellungen versucht.

Bezüglich der Stromversorgung habe ich den Jumper für USB gesetzt, alle 
GND verbunden, sowie 5 und 3,3 V der beiden Boards verbunden. 
Entsprechend dem Manual habe ich die Jumper 2/3/4 verbunden. Beim 
Durchmessen lagen überall die korrekten Spannungen an.

Das AVR Board funktioniert vollständig (also auch die Uhr und SD Karte), 
nur das Z180 Board macht keinen Pieps.

Zusatz: Habe eben vorhin die Lötstellen nochmal angesehen. Schaut alles 
ganz ok aus soweit.

: Bearbeitet durch User
von Joe G. (feinmechaniker) Benutzerseite


Lesenswert?

Es gibt auf dem Z180 Board ein Layoutfehler (Brücke zwischen ZRESET und 
WAIT)Das Problem wurde hier im Forum schon mal besprochen. Es gibt eine 
Lösung VOR der Bestückung [1] und eine "Aufbohrlösung" von Leo C. nach 
der Bestückung. Mit diesem Fehler läuft die CPU nicht.

[1] 
http://www.mikrocontroller.net/svnbrowser/avr-cp-m/trunk/stamp/z180_stamp/docs/?sortdir=down#dirlist

von Harald N. (haraldn)


Lesenswert?

Auch das habe ich bereits vor dem Löten korrigiert und auf Korrektheit 
durchgemessen. Ich hab echt keine Ahnung was da nicht passt...

von Christian J. (Gast)


Lesenswert?

Hallo,

als völliger Quereinsteiger hier in diesem Thread, habe ich mich mal 
durchgelesen und versucht das Projekt im Kopf zusammen zu setzen. Es 
stellt sich die Frage: Was kann man mit dieser Stamp anfangen? Ist das 
eine weitere CP/M Plattform zur Benutzung von CPM Programmen oder eine 
Art "Microcontroller" der sich zur Steueraufgaben einsetzen lässt? Ist 
die Stamp für sich allein verwendbar oder muss sie ergänzt werden durch 
Peripherie an den Bus Pins?

Für den Z180 gibt es im Netz sehr wenig wie nich finde aber an einem 
DIP64 Baustein hätte ich schon Interesse, diesen in einem Minicomputer 
zu verwerkeln.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.